数字电子技术
湖南计算机高等专科学校
李中发 胡锦 制作
第 3章 时序逻辑电路
学习要点,
?触发器的逻辑功能及使用
?时序电路的分析方法和设计方法
?计数器、寄存器等中规模集成电路的逻
辑功能和使用方法
第 3章 时序逻辑电路
3.1 触发器
3.2 时序逻辑电路的分析与设计方法
3.3 计数器
3.4 寄存器
3.5 顺序脉冲发生器
3.6 随机存取存储器 (ROM)
退出
3.1 触发器
3.1.1 基本 RS触发器
3.1.2 同步触发器
3.1.3 主从触发器
退出
3.1.4 边沿触发器
3.1.5 不同类型触发器间的转换
触发器是构成时序逻辑电路的基本逻辑部件。
? 它有两个稳定的状态,0状态和 1状态;
? 在不同的输入情况下,它可以被置成 0状
态或 1状态;
? 当输入信号消失后,所置成的状态能够保
持不变。
所以,触发器可以记忆 1位二值信号。根据逻
辑功能的不同,触发器可以分为 RS触发器、
D触发器,JK触发器,T和 T′触发器;按照结
构形式的不同,又可分为基本 RS触发器、同
步触发器、主从触发器和边沿触发器。
3.1.1 基本 RS触发器









S R
Q Q
S R
Q Q
(a ) 逻辑图 (b ) 逻辑符号
&&
S R
信号输入端,低电平有效。
信号输出端,Q=0,Q=1的状态称 0
状态,Q=1,Q=0的状态称 1状态,
S R
Q Q
&&
工作原理
R S Q
1 0
0 1
1 0 0
① R=0,S=1时:由于 R=0,不论原来 Q为 0还是 1,都有 Q=1;
再由 S=1,Q=1可得 Q= 0。即不论触发器原来处于什么状态都
将变成 0状态,这种情况称将触发器置 0或复位。 R端称为触发
器的置 0端或复位端。
S R
Q Q
&&
0 1
1 0 R S Q
1 0 0
② R=1,S=0时:由于 S=0,不论原来 Q为 0还是 1,都有 Q=1;
再由 R=1,Q=1可得 Q= 0。即不论触发器原来处于什么状态都
将变成 1状态,这种情况称将触发器置 1或置位。 S端称为触发
器的置 1端或置位端。
0 1 1
S R
Q Q
&&
1 1
1 0
③ R=1,S=1时:根据与非门的逻辑功能不难推知,触发器保
持原有状态不变,即原来的状态被触发器存储起来,这体现了
触发器具有记忆能力。
R S Q
1 0 0
0 1 1
1 1 不变
10
S R
Q Q
&&
0 0
1 1 R S Q
1 0 0
0 1 1
1 1 不变
0 0 不定

④ R=0,S=0时,Q=Q=1,不符合触发器的逻辑关系。并且由
于与非门延迟时间不可能完全相等,在两输入端的 0同时撤除
后,将不能确定触发器是处于 1状态还是 0状态。所以触发器不
允许出现这种情况,这就是基本 RS触发器的约束条件。
R S
n
Q
1?n
Q
功能
0 0 0
0 0 1
不用
不用
不允许
0 1 0
0 1 1
0
0
0
1
?
?n
Q
置 0
1 0 0
1 0 1
1
1
1
1
?
?n
Q
置 1
1 1 0
1 1 1
0
1
nn
QQ ?
? 1
保持
特性表(真值表)
























































Q
n
00 01 11 10
0 × 0 0 1
1 × 0 1 1
RS
次态 Qn+1的卡诺图
??
?
?
?
??
?????
约束条件 1
)(1
SR
QRSQRSQ nnn
特性方程
触发器的特性方程就是触发器次态 Qn+1
与输入及现态 Qn之间的逻辑关系式
状态图
描述触发器的状态转换关系及转换条件的图形称为状态图
0 1 × 1/ 1× /
10/
01/
① 当触发器处在 0状态,即 Qn=0时,若输入信号 = 01或
11,触发器仍为 0状态;
RS
② 当触发器处在 1状态,即 Qn=1时,若输入信号 = 10或
11,触发器仍为 1状态;
RS
RS 若 = 10,触发器就会翻转成为 1状态。
RS 若 = 01,触发器就会翻转成为 0状态。
波形图
反映触发器输入信号取值和状态之间对应关系的图形称为
波形图
R
S
Q
Q
置 1 置 0 置 1 置 1 置 1 保持 不允许
基本 RS触发器的特点
( 1) 触发器的次态不仅与输入信号状态有关, 而且与触
发器的现态有关 。
( 2) 电路具有两个稳定状态, 在无外来触发信号作用时,
电路将保持原状态不变 。
( 3) 在外加触发信号有效时, 电路可以触发翻转, 实现
置 0或置 1。
( 4) 在稳定状态下两个输出端的状态和必须是互补关系,
即有约束条件 。
在数字电路中, 凡根据输入信号 R,S情况的
不同, 具有置 0,置 1和保持功能的电路, 都
称为 RS触发器 。
集成基本 RS触发器
(a ) 74 L S 27 9 的引脚图
16 15 1 4 1 3 1 2 11 1 0 9
74 L S 27 9
1 2 3 4 5 6 7 8
V
C C
4 S
4 R
4 Q 3 S
A
3 S
B
3 R
3 Q
1 R 1 S
A
1 S
B
1 Q
2 R
2 S
2 Q G N D
(b ) C C 40 44 的引脚图
16 15 1 4 1 3 1 2 11 1 0 9
C C 40 44
1 2 3 4 5 6 7 8
V
DD
4 S
4 R
1 Q
2 R
2 S
3 Q
2 Q
4 Q NC
1 S
1 R
EN
1 R
1 S V
SS
EN= 1时工作
EN= 0时禁止
1S
2S
3.1.2 同步触发器
1、同步 RS触发器 G 1 G 2
G
3
G
4
S CP RS CP R
&
Q Q
S CP R
S CP R
Q Q
Q Q
(a ) 逻辑电路
(b) 曾用符号
1S C1 1R
Q Q
(c ) 国标符号
&
&
&
R S
CP= 0时,R=S=1,触发器保持原来状态不变。
CP= 1时,工作情况与基本 RS触发器相同。
C P R S Q
n
Q
n+ 1
功能
0 × × × Q
n
nn
QQ ?
? 1
保持
1 0 0 0
1 0 0 1
0
1
nn
QQ ?
? 1
保持
1 0 1 0
1 0 1 1
1
1
1
1
?
?n
Q
置 1
1 1 0 0
1 1 0 1
0
0
0
1
?
?n
Q
置 0
1 1 1 0
1 1 1 1
不用
不用
不允许



特性
方程
?
?
?
?
???
0
1
RS
QRSQ nn CP=1期间有效







( 1) 时钟电平控制 。 在 CP= 1期间接收输入信号,
CP= 0时状态保持不变, 与基本 RS触发器相比, 对触
发器状态的转变增加了时间控制 。
( 2) R,S之间有约束 。 不能允许出现 R和 S同时为 1
的情况, 否则会使触发器处于不确定的状态 。
CP
R
S
Q
Q













1

0

1

0


2、同步 JK触发器
G
3
G
4
G
1
G
2
J CP K J CP KJ CP K
Q Q
J CP K
Q Q
Q Q
(a ) 逻辑电路 (b) 曾用符号
1J C1 1K
Q Q
(c ) 国标符号
&
&&
&
nn
nnnnn
QKQJ
QKQQJQRSQ
??
????? 1 CP=1期间有效
将 S=JQn,R=KQn代入同步 RS触发器的特性方程,得
同步 JK触发器的特性方程,
CP J K Q
n
Q
n+ 1
功能
0 × × × Q
n
nn
QQ ?
? 1
保持
1 0 0 0
1 0 0 1
0
1
nn
QQ ?
? 1
保持
1 0 1 0
1 0 1 1
0
0
0
1
?
?n
Q
置 0
1 1 0 0
1 1 0 1
1
1
1
1
?
?n
Q
置 1
1 1 1 0
1 1 1 1
1
0
nn
QQ ?
? 1
翻转
特性表
JK=00时不变
JK=01时置 0
JK=10时置 1
JK=11时翻转
0 1
JK = 1 × /
× 1/
0 × / × 0/



CP
J
K
Q
Q



在数字电路中, 凡在 CP时钟脉冲控制下, 根据输
入信号 J,K情况的不同, 具有置 0,置 1,保持和
翻转功能的电路, 都称为 JK触发器 。
3、同步 D触发器( D锁存器)
G
3
G
4
G
1
G
2
S R
D
G
1
G
2
CP
Q Q
(a ) D 触发器的构成
1
D
D C P
1D C 1
Q Q
(c ) 逻辑符号
CP
G
3
G
4
& &
Q Q
(b ) D 触发器的简化电路
S R
&&
&& & &
DQDDQRSQ nnn ?????? 1
CP=1期间有效
将 S=D,R=D代入同步 RS触发器的特性方程,得同步
D触发器的特性方程,
0 1
D = 1/
0/
0/ 1/






在数字电路中, 凡在 CP时钟脉冲控制下, 根据输
入信号 D情况的不同, 具有置 0,置 1功能的电路,
都称为 D触发器 。
CP
D
Q
Q
(a ) 74 L S 37 5 的引脚图
16 15 1 4 1 3 1 2 11 1 0 9
74 L S 37 5
1 2 3 4 5 6 7 8
V
C C
4 D
4 Q
4 Q
2 G
3 Q
3 Q
3 D
1 D 1 Q
1 Q 1 G
2 Q
2 Q
2 D G N D
(b ) C C 40 4 的引脚图
16 15 1 4 1 3 1 2 11 1 0 9
C C 40 42
1 2 3 4 5 6 7 8
V
DD
4 Q
4 D
3 D
3 Q
3 Q
2 Q
2 Q
4 Q 1 Q
1 Q
1 D
CP
P O L
2 D V
SS
集成同步 D触发器
CP1,2
CP3,4
POL= 1时,CP= 1有效,锁存
的内容是 CP下降沿时刻 D的值;
POL= 0时,CP= 0有效,锁存
的内容是 CP上升沿时刻 D的值。
3.1.3 主从触发器
1、主从 RS触发器
G
5
G
6
G
1
G
2
G
7
主触发器 G
8
Q
m
Q
m
G
3
从触发器 G
4&&
Q Q
&&
1
S R C P
CP
G
9
(a ) 逻辑电路
&
&&
&
工作原理
( 1) 接收输入信号过程
CP=1期间:主触发器控制门 G7、
G8打开,接收输入信号 R,S,有,
从触发器控制门 G3,G4封锁, 其
状态保持不变 。
?
?
?
?
???
0
1
RS
QRSQ nmnm
1
0
G
5
G
6
G
1
G
2
G
7
主触发器 G
8
Q
m
Q
m
G
3
从触发器 G
4&&
Q Q
&&
1
S R CP
CP
G
9
&
&&
&
0
1
( 2) 输出信号过程
CP下降沿到来时, 主触发器控
制门 G7,G8封锁, 在 CP=1期间
接收的内容被存储起来 。 同时,
从触发器控制门 G3,G4被打开,
主触发器将其接收的内容送入
从触发器, 输出端随之改变状
态 。 在 CP=0期间, 由于主触发
器保持状态不变, 因此受其控
制的从触发器的状态也即 Q,Q
的值当然不可能改变 。
?
?
?
?
???
0
1
RS
QRSQ nn CP下降沿到来时有效
特性
方程
Q Q
S R
S C P R
Q Q
( b ) 曾用符号
1 S 1 R
S C P R
Q Q
( c ) 国标符号
CP C1
逻辑符号 电路特点
主从 RS触发器采用主从控制
结构, 从根本上解决了输入
信号直接控制的问题, 具有
CP= 1期间接收输入信号,
CP下降沿到来时触发翻转
的特点 。 但其仍然存在着约
束问题, 即在 CP= 1期间,
输入信号 R和 S不能同时为 1。
G
1
G
2
J K CP
G
7
主 G
8
G
5
G
6
G
3
从 G
4
Q Q
1
G
9
Q
m
Q
m
&&
&&
& &
& &
2、主从 JK触发器
nn KQRQJS ??
下降沿到来时有效CP
QKQJ
QKQQJ
QRSQ
nn
nnn
nn
1
??
??
??
?
代入主从 RS触发器的特性方程,
即可得到主从 JK触发器的特性
方程,

主从 JK触发器没有约束。
J K Q
n
Q
n+ 1
功能
0 0 0
0 0 1
0
1
nn
QQ ?
? 1
保持
0 1 0
0 1 1
0
0
0
1
?
?n
Q
置 0
1 0 0
1 0 1
1
1
1
1
?
?n
Q
置 1
1 1 0
1 1 1
1
0
nn
QQ ?
? 1
翻转



CP
J
K
Q



Q Q
J K
J C P K
Q Q
曾用符号
1 J 1 K
J C P K
Q Q
国标符号
CP C1
电路特点 逻辑符号
① 主从 JK触发器采用
主从控制结构,从根
本上解决了输入信号
直接控制的问题,具
有 CP= 1期间接收
输入信号,CP下降沿
到来时触发翻转的特
点。
②输入信号 J,K之间
没有约束。
③存在一次变化问题。
G
1
G
2
J K CP
G
7
G
8
G
5
G
6
G
3
G
4
Q Q
1 G
9
R
D
S
D
&&
&&
&&
& &
带清零端和预置端的
主从 JK触发器
RD=0,直接置 0
0 1
1
1
1 0
0
1
SD=0,直接置 1
G
1
G
2
J K CP
G
7
G
8
G
5
G
6
G
3
G
4
Q Q
1 G
9
R
D
S
D
&&
&&
&&
& &
1 0
0
0
1
1
1
1
S
D
J C P K R
D
Q Q
S
D
R
D
J K
J C P K
Q Q
曾用符号 国标符号
CP
R
D
S
D
S 1J 1K R
Q Q
C1
带清零端和预置端的主从
JK触发器的逻辑符号
集成主从 JK触发器 14 13 1 2 1 1 1 0 9 8
7472
1 2 3 4 5 6 7
V
C C
S
D
R
D
K
3
K
2
K
1
Q
( b ) 7 4 7 2 的引脚图
( a ) 7 4 L S 7 6 的引脚图
16 15 1 4 1 3 1 2 11 1 0 9
7 4 L S 7 6
1 2 3 4 5 6 7 8
1 K
1 Q
1 Q
G N D
2 K
2 Q
2 Q
2 J
1 CP 1 S
D
1 R
D
1 J V
CC
2 CP
2 S
D
2 R
D NC
CP
J
1
J
2
J
3
Q G N D
321 KKKK ?
321 JJJJ ?
低电平有效
低电平有效
CP下降沿触发
1J 2K
S R
S
D
J
1
J
2
J
3
C P K
1
K
2
K
3
R
D
Q Q
CP&
&
与输入主从 JK触发器的逻辑符号
主从 JK触发器功能完善,并且输入信号 J,K之间没有约
束。但主从 JK触发器还存在着一次变化问题,即主从 JK
触发器中的主触发器,在 CP= 1期间其状态能且只能变化
一次,这种变化可以是 J,K变化引起,也可以是干扰脉冲
引起,因此其抗干扰能力尚需进一步提高。
3.1.4 边沿触发器
1、边沿 D触发器
工作原理
G
5
G
6
G
1
G
2
CP
G
3
从 G
4&
Q Q
1
G
7
主 G
8
&
&
1
D
1
Q
m
Q
m
&
&
&
&
&
( 1) CP= 0时, 门 G7,G8被封
锁, 门 G3,G4打开, 从触发器
的状态取决于主触发器 Q=Qm、
Q=Qm,输入信号 D不起作用 。
( 2) CP= 1时, 门 G7,G8打开,
门 G3,G4被封锁, 从触发器状
态不变, 主触发器的状态跟随
输入信号 D的变化而变化, 即
在 CP= 1期间始终都有 Qm=D。
G
5
G
6
G
1
G
2
CP
G
3
从 G
4&
Q Q
1
G
7
主 G
8
&
&
1
D
1
Q
m
Q
m
&
&
&
&
&
DQ n ?? 1 下降沿时刻有效
( 3) CP下降沿到来时,封锁门
G7,G8,打开门 G3,G4,主触
发器锁存 CP下降时刻 D的值,即
Qm=D,随后将该值送入从触发
器,使 Q=D,Q=D。
( 4) CP下降沿过后,主触发器
锁存的 CP下降沿时刻 D的值被保
存下来,而从触发器的状态也将
保持不变。
综上所述,边沿 D触发器的特性
方程为,
边沿 D触发器没有一次变化问题。
D C P
Q Q
D
Q Q
曾用符号
D C P
1D
Q Q
国标符号
C P C 1
逻辑符号
14 13 1 2 1 1 1 0 9 8
74 L S 74
1 2 3 4 5 6 7
V
C C
2 R
D
2 D
2 CP
2 S
D
2 Q
2 Q
1 R
D
1 D
1 CP
1 S
D
1 Q
1 Q G ND
14 13 1 2 1 1 1 0 9 8
CC4013
1 2 3 4 5 6 7
V
C C
2 Q
2 Q
2 CP
2 R
D
2 D
2 S
D
1 Q
1 Q
1 CP
1 R
D
1 D
1 S
D
V
SS
( a ) 74 L S 74 引脚排列图 ( b) CC 4 01 3 引脚排列图
集成边沿 D触发器
注意, CC4013的异步输入端 RD和 SD为高电平有效 。
CP上升沿触发
2、边沿 JK触发器
D
CP
&
&
Q Q
1
&
&&
1
1
≥ 1
≥ 1
J
K
&
&
&
&
nn
nn
nn
nn
nn
QKQJ
KJQKQJ
QKQJ
KQQJ
KQQJD
??
???
???
???
???
))((
)(
nn
n
QKQJ
DQ
??
?? 1
CP下降沿时刻有效
J C P K
Q Q
J K
Q Q
曾用符号
J C P K
1 J 1 K
Q Q
国标符号
C P
C 1
边沿 JK触发器
的逻辑符号
边沿 JK触发
器的特点
① 边沿触发,无一
次变化问题。
②功能齐全,使用
方便灵活。
③抗干扰能力极强,
工作速度很高。
集成边沿 JK触发器
(a ) 74 L S 11 2 的引脚图
16 15 1 4 1 3 1 2 11 1 0 9
74 L S 11 2
1 2 3 4 5 6 7 8
V
C C
1 R
D
2 R
D
2 CP
2 K
2 J
2 S
D
2 Q
1 CP
1 K
1 J
1 S
D
1 Q
1 Q
2 Q G ND
(b ) CC4 02 7 的引脚图
16 15 1 4 1 3 1 2 11 1 0 9
CC4 02 7
1 2 3 4 5 6 7 8
V
DD
2 Q
2 Q
2 CP
2 R
D
2 K
2 J
2 S
D
1 Q
1 Q
1 CP
1 R
D
1 K
1 J
1 S
D
V
SS
① 74LS112为 CP下降沿触发 。
② CC4027为 CP上升沿触发, 且其
异步输入端 RD和 SD为高电平有效 。


3.1.5 不同类型触发器之间的转换
转换步骤,
( 1) 写出已有触发器和待求触发器的特性方程 。
( 2) 变换待求触发器的特性方程, 使之形式与
已有触发器的特性方程一致 。
( 3) 比较已有和待求触发器的特性方程, 根据
两个方程相等的原则求出转换逻辑 。
( 4) 根据转换逻辑画出逻辑电路图 。
转换方法,
利用令已有触发器和待求触发器的特性方程相等
的原则,求出转换逻辑。
1、将 JK触发器转换为 RS,D,T和 T' 触发器
JK触发器 → RS触发器
?
?
?
?
???
0
1
RS
QRSQ nn
nn
nnnn
nnn
nnn
nnnnn
QRQS
R S QSQRQRQS
RRSQQRQS
QRSQQS
QRQQSQRSQ
??
????
????
???
?????
?
)(
)(
1
RS触发器特性方程
变换 RS触发器的特性方程, 使之形式与 JK
触发器的特性方程一致,
?
?
?
?
?
RK
SJ
nnn QKQJQ ??? 1
nnn QRQSQ ??? 1
比较,得,
1J
C 1
1K
S
CP
R
Q
Q
电路图
JK触发器 → D触发器
1J
C 1
1K
D Q
Q
1
CP
写出 D触发器的特性方程, 并进行变换, 使之形式与 JK触发
器的特性方程一致,
nnnnn DQQDQQDDQ ?????? )(1
与 JK触发器的特性方程比较, 得,
?
?
?
?
?
DK
DJ



JK触发器 → T触发器
在数字电路中, 凡在 CP时钟脉冲控制下, 根据输入信号 T取值
的不同, 具有保持和翻转功能的电路, 即当 T= 0时能保持状态
不变, T= 1时一定翻转的电路, 都称为 T触发器 。
T Q
n
Q
n+ 1
功能
0 0
0 1
0
1
nn
QQ ?
? 1
保持
1 0
1 1
1
0
nn
QQ ?
? 1
翻转
特性表 逻辑符号
T C P
1 T
Q Q
C 1
T触发器特性方程,
nnnn QTQTQTQ ????? 1
与 JK触发器的特性方程比较, 得,
?
?
?
?
?
TK
TJ



1J
C 1
1K
T Q
Q
CP
0 1
T= 1/
1/
0/ 0/
CP
T
Q
Q






JK触发器 → T' 触发器
在数字电路中, 凡每来一个时钟脉冲就翻转一次的电路, 都称
为 T' 触发器 。
特性表
逻辑符号
C P
Q Q
C 1
Q n Q n +1 功能
0
1
1
0
nn QQ ?? 1
翻转
T ' 触发器特性方程,
与 JK触发器的特性方程比较, 得,
?
?
?
?
?
TK
TJ



1J
C 1
1K
1 Q
Q
CP
nn QQ ?? 1
变换 T' 触发器的特性方程,
nnnn QQQQ ?????? 111
CP
Q
Q
0 1






2、将 D触发器转换为 JK,T和 T' 触发器
D触发器 → JK触发器
nn QKQJD ??
J 1D
C1
Q
Q
CP
≥ 1&
&1K
D触发器 → T触发器
nQTD ??
T
1D
C1
Q
Q
CP
=1
D触发器 → T' 触发器
nQD ?
CP
1D
C1
Q
Q
本节小结,
触发器是数字电路的极其重要的基本单元 。 触发器有两个
稳定状态, 在外界信号作用下, 可以从一个稳态转变为另一个
稳态;无外界信号作用时状态保持不变 。 因此, 触发器可以作
为二进制存储单元使用 。
触发器的逻辑功能可以用真值表, 卡诺图, 特性方程, 状
态图和波形图等 5种方式来描述 。 触发器的特性方程是表示其逻
辑功能的重要逻辑函数, 在分析和设计时序电路时常用来作为
判断电路状态转换的依据 。
各种不同逻辑功能的触发器的特性方程为,
RS触发器,Qn+1=S+RQn,其约束条件为,RS= 0
JK触发器,Qn+1=JQn+KQn
D触发器,Qn+1=D
T触发器,Qn+1=TQn+TQn
T' 触发器,Qn+1=Qn
同一种功能的触发器, 可以用不同的电路结构形式来实现;
反过来, 同一种电路结构形式, 可以构成具有不同功能的各种
类型触发器 。
3.2 时序逻辑电路的
分析与设计方法
3.2.1 时序逻辑电路概述
退出
3.2.2 时序逻辑电路的分析方法
3.2.3 时序逻辑电路的设计方法
3.2.1 时序逻辑电路概述
1、时序电路的特点
组合电路
存储电路
X
1
X
p
Y
1
Y
m
Q
1
Q
t
W
1
W
r








时序电路在任何时刻的稳定输出,不仅与该时刻的
输入信号有关,而且还与电路原来的状态有关。
2、时序电路逻辑功能的表示方法
时序电路的逻辑功能可用逻辑表达式, 状态表, 卡诺图, 状态
图, 时序图和逻辑图 6种方式表示, 这些表示方法在本质上是相
同的, 可以互相转换 。
逻辑表达式有,
?
?
?
??
?
?
??
??
??
?
tkQQQWWWHQ
rjQQQXXXGW
miQQQXXXFY
n
q
nn
rk
n
k
n
q
nn
pjj
n
q
nn
pii
,,2,1 ),,,;,,,(
,,2,1 ),,,;,,,(
,,2,1 ),,,;,,,(
2121
1
2121
2121
???
???
???
输出方程
状态方程 激励方程
3、时序电路的分类
( 1) 根据时钟分类
同步时序电路中,各个触发器的时钟脉冲相同,即电路中有
一个统一的时钟脉冲,每来一个时钟脉冲,电路的状态只改
变一次。
异步时序电路中,各个触发器的时钟脉冲不同,即电路中没
有统一的时钟脉冲来控制电路状态的变化,电路状态改变时,
电路中要更新状态的触发器的翻转有先有后,是异步进行的。
( 2) 根据输出分类
米利型时序电路的输出不仅与现态有关,而且还决定于电路
当前的输入。
穆尔型时序电路的其输出仅决定于电路的现态,与电路当前
的输入无关;或者根本就不存在独立设置的输出,而以电路
的状态直接作为输出。
电路图
时钟方程、
驱动方程和
输出方程
状态方程
状态图、
状态表或
时序图
判断电路
逻辑功能
1 2
3
5
3.2.2 时序逻辑电路的分析方法
时序电路的分析步骤,
计算
4
Y
Q
1
Q
1
Q
2
Q
2
1J
C1
1K
1J
C1
1K
1J
C1
1K
&
Q
0
Q
0
FF
0
F F
1
F F
2
CP
CPCPCPCP ??? 012

nn QQY 21?
?
?
?
?
?
??
??
??
nn
nn
nn
QKQJ
QKQJ
QKQJ
2020
0101
1212
时钟方程,
输出方程,输出仅与电路现态有关,为穆尔型时序电路。
同步时序电路的时
钟方程可省去不写。
驱动方程,
1




2 求状态方程
JK触发器的特性方程,
nnn QKQJQ ??? 1
将各触发器的驱动方程代入, 即得电路的状态方程,
?
?
?
?
?
?????
?????
?????
?
?
?
nnnnnnnn
nnnnnnnn
nnnnnnnn
QQQQQQKQJQ
QQQQQQKQJQ
QQQQQQKQJQ
202020000
1
0
010101111
1
1
121212222
1
2
现 态 次 态 输 出
nnn
QQQ
012
1
0
1
1
1
2
??? nnn
QQQ
Y
3 计算、列状态表
nn
nn
nn
nn
QQY
QQ
QQ
QQ
21
2
1
0
0
1
1
1
1
2
?
?
?
?
?
?
?
?
?
?
?
?
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
0 0 1
0 1 1
1 0 1
1 1 1
0 0 0
0 1 0
1 0 0
1 1 0
0
0
0
0
1
1
0
0
000
10
0
0
1
0
1
1
1
2
???
?
?
?
?
?
??
?
?
?
?
?
Y
Q
Q
Q
n
n
n
1
1
11
01
?
?
?
?
?
?
0
4 画状态图、时序图
000 → 001 → 011
/1 ↑ ↓ /0
100 ← 110 ← 111
/ 0 / 0
/ 0 / 0
( a ) 有效循环
0 1 0 1 0 1
( b ) 无效循环
/0
/1
排列顺序:
/ Y
nnn
QQQ
012
状态图
CP
Q
0
Q
1
Q
2
Y
5







有效循环的 6个状态分别是 0~ 5这 6个十进制数字的格
雷码, 并且在时钟脉冲 CP的作用下, 这 6个状态是按
递增规律变化的, 即,
000→001→011→111→110→100→000→…
所以这是一个用格雷码表示的六进制同步加法计数器 。
当对第 6个脉冲计数时, 计数器又重新从 000开始计数,
并产生输出 Y= 1。
Q 0
Q 0
FF 0 F F 1
CP
Y
Q 1
Q 1
1T
C 1
1T
C 1
&
=1
X
,1,例
输出方程,输出与输入有关,为米利型时序电路。
同步时序电路,时钟方程省去。
驱动方程,
1




nn QXQXY 11 ???
?
?
?
?
??
10
01
T
QXT n
??
?
?
?
?????
??????
nnnn
nnnn
QQQTQ
QQXQTQ
00000
1011
1
1
1
2 求状态方程
T触发器的特性方程,
将各触发器的驱动方程代入, 即得电路的状态方程,
nn QTQ ??? 1
3 计算、列状态表
输入 现 态 次 态 输出
X
nn
QQ
01
1
0
1
1
?? nn
QQ
Y
0
0
0
0
1
1
1
1
0 0
0 1
1 0
1 1
0 0
0 1
1 0
1 1
0 1
1 0
1 1
0 0
1 1
0 0
0 1
1 0
1
1
1
1
0
0
1
1
n
nn
nnn
QXY
QQ
QQXQ
1
00
10
1
1
??
??
?
?
?
?
????
100
10
0000
0
1
1
???
??
?
?
?
??
?????
Y
Q
Q
n
n
100
01
11
0
???
?? ??
?
Y
n
11
10
01
?
? 01 ?
01
01 ? 01 ?
111
01
1111
0
1
1
???
??
?
?
?
??
??
?
Y
Q
n
n
4
00 01
11 10
0/ 1 1/ 0 1/ 1 0/ 1
0/ 1
0/ 0
1/ 1
0/ 1
CP
X
Q
0
Q
1
Y
(a ) 状态图 (b) 时序图
5




由状态图可以看出, 当输入 X = 0时, 在时钟脉冲 CP
的作用下, 电路的 4个状态按递增规律循环变化, 即,
00→01→10→11→00→…
当 X= 1时, 在时钟脉冲 CP的作用下, 电路的 4个状态
按递减规律循环变化, 即,
00→11→10→01→00→…
可见, 该电路既具有递增计数功能, 又具有递减计数
功能, 是一个 2位二进制同步可逆计数器 。







CP
Q 2
Q 2
1D
C 1
1D
C 1
Q 1
Q 1
FF 0 F F 1 F F 2
1D
C 1
Q 0
Q 0

电路没有单独的输出,为穆尔型时序电路。
异步时序电路,时钟方程,
驱动方程,
1




CPCPQCPQCP ??? 00112,,
nnn QDQDQD 001122 ???,,
?
?
?
?
?
??
??
??
?
?
?
上升沿时刻有效
上升沿时刻有效
上升沿时刻有效
CP
Q
Q
00
1
0
011
1
1
122
1
2
nn
nn
nn
QDQ
QDQ
QDQ
DQ n ?? 1
2 求状态方程
D触发器的特性方程,
将各触发器的驱动方程代入, 即得电路的状态方程,
3 计算、列状态表
现 态 次 态 注
nnn
QQQ
012
1
0
1
1
1
2
??? nnn
QQQ 时钟条件
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
1 1 1
1 1 1
0 0 0
0 0 1
0 1 0
0 1 1
1 0 0
1 0 1
1 1 0
CP
0
CP
1
CP
2
CP
0
CP
0
CP
1
CP
0
CP
0
CP
1
CP
2
CP
0
CP
0
CP
1
CP
0
?
?
?
?
?
??
??
??
?
?
?
CP
Q
Q
0
1
0
01
1
1
12
1
2
nn
nn
nn
QQ
QQ
QQ
?
?
?
?
?
???
???
???
?
?
?
CP,10
Q,10
Q,10
1
0
0
1
1
1
1
2
n
n
n
Q
Q
Q
?? CP,01
不变
不变
?
??
?
?
?
CP,10
Q,01
1
0
0
1
1
2
n
n
n
不变
1 不变
?Q,01 1,1 不变
,
,0
不变不变
0 0 0 ← 001 ← 010 ← 011
↓ ↑
111 → 110 → 101 → 100
(a ) 状态图 (b ) 时序图
CP
Q
0
Q
1
Q
2
排列顺序:
nnn
QQQ
012
4
5 电路功能
由状态图可以看出, 在时钟脉冲 CP的作用下, 电路的 8个状
态按递减规律循环变化, 即,
000→111→110→101→100→011→010→001→000→…
电路具有递减计数功能, 是一个 3位二进制异步减法计数器 。
画状态图、时序图
设计
要求
原始状
态图
最简状
态图
画电
路图
检查电
路能否
自启动
1 2
4
6
3.2.3 时序逻辑电路的设计方法
时序电路的设计步骤,
选触发器,求时
钟、输出、状态、
驱动方程
5
状态
分配
3
化简

1 建立原始状态图
设计一个按自然态序变化的 7进制同步加法计数器,计数
规则为逢七进益,产生一个进位输出。
000 → 001 → 010 → 011
↓ /0
110 ← 101 ← 100
/ 0 / 0
/ 0 / 0 / 0
排列顺序:
/ Y
nnn QQQ
012
/1
状态化简 2
状态分配 3
已经最简。
已是二进制状态。
4 选触发器,求时钟、输出、状态、驱动方程
因需用 3位二进制代码,选用 3个 CP下降沿触发的 JK触发器,
分别用 FF0,FF1,FF2表示。
由于要求采用同步方案,故时钟方程为,
CPCPCPCP ??? 210
输出方程,
nn QQY 21?
Y 的卡诺图
00 01 11 10
0 0 0 1 0
1 0 0 × 0
nn
QQ
12
n
Q
0
( a )
1
0
?n
Q 的卡诺图
00 01 11 10
0 1 1 0 1
1 0 0 × 0
nn
QQ
12
n
Q
0
( b )
1
1
?n
Q 的卡诺图
00 01 11 10
0 0 1 0 0
1 1 0 × 1
nn
QQ
12
n
Q
0
( c )
1
2
?n
Q 的卡诺图
00 01 11 10
0 0 0 0 1
1 0 1 × 1
nn
QQ
12
n
Q
0
?
?
?
?
?
?
?
??
??
??
??
?
?
?
nnnnnn
nnnnnn
nnnn
nnnnn
QQQQQQ
QQQQQQ
QQQQ
QQQQQ
21201
1
2
10210
1
1
0012
0102
1
0
1




不化简,以便使之与 JK触发器的特性方程的形式一致。
nn
QQJ
120
?, 1
0
?K
n
QJ
01
?,
nn
QQK
021
?
nn
QQJ
012
?, nQK
12
?
Y
FF
0
F F
1
F F
2
CP
Q
1
Q
1
Q
2
Q
2
1J
C1
1K
1J
C1
1K
1J
C1
1K
&
Q
0
Q
0
&
1
&
&
比较, 得驱动方程,
?
?
?
?
?
?
?
??
??
??
?
?
?
nnnnnn
nnnnnn
nnnnn
QQQQQQ
QQQQQQ
QQQQQ
21201
1
2
10210
1
1
0012
1
0
1



5
nnn QKQJQ ??? 1
检查电路能否自启动 6
?
?
?
?
?
?
?
???
???
???
?
?
?
0
0
01
21201
1
2
10210
1
1
0012
1
0
nnnnnn
nnnnnn
nnnnn
QQQQQQ
QQQQQQ
QQQQQ
将无效状态 111代入状态方程计算,
可见 111的次态为有效状态 000,
电路能够自启动。
设计一个串行数据检测电路, 当连续输入 3个或 3个以
上 1时, 电路的输出为 1,其它情况下输出为 0。 例如,
输入 X 101100111011110
输入 Y 000000001000110

1 建立原始状态图
S0 S1
S2 S3
设电路开始处于初始状态为 S0。
第一次输入 1时,由状态 S0转入
状态 S1,并输出 0;
1/0
X/Y
若继续输入 1,由状态 S1转入状
态 S2,并输出 0;
1/0
如果仍接着输入 1,由状态 S2转
入状态 S3,并输出 1;
1/1
此后若继续输入 1,电路仍停
留在状态 S3,并输出 1。
1/1
电路无论处在什么状态,
只要输入 0,都应回到初
始状态,并输出 0,以便
重新计数。
0/0 0/0
0/0
0/0
0/ 0
1/ 0
1/ 0
1 /0
1/ 0
0/ 0
(c ) 二进制状态图
1 0
0/ 0
1/ 1
00 01
0/ 0
1/ 0
1/ 0
1 /0
1/ 0
0/ 0
(b) 简化状态图
S
2
0/ 0
1/ 1
S
0
S
1
原始状态图中, 凡是在输入相同时, 输出相同, 要转换到的次态也
相同的状态, 称为等价状态 。 状态化简就是将多个等价状态合并成
一个状态, 把多余的状态都去掉, 从而得到最简的状态图 。
状态化简 2 状态分配 3
1 / 0
0 / 0
1 / 1
0 / 0 0 /0 1 / 0
1 / 1
(a ) 原始状态图
S
3
S
2
0 / 0 S
0
S
1
所得原始状态图中,状态 S2和 S3等价。因为它们在输入为 1时输出都
为 1,且都转换到次态 S3;在输入为 0时输出都为 0,且都转换到次态
S0。所以它们可以合并为一个状态,合并后的状态用 S2表示。
S0=00
S1=01
S2=10
4 选触发器,求时钟、输出、状态、驱动方程
选用 2个 CP下降沿触发的 JK触发器, 分别用 FF0,FF1表示 。 采用
同步方案, 即取,




nXQY 1?




( a )
1
0
?n
Q 的卡诺图
X 00 01 11 10
0 0 0 × 0
1 1 0 × 0
nn
QQ
01
nnn QQXQ 0110 ?? nnnn XQQXQQ 11011 ???
( b )
1
1
?n
Q 的卡诺图
X 00 01 11 10
0 0 0 × 0
1 0 1 × 1
nn
QQ
01
Y 的卡诺图
X 00 01 11 10
0 0 0 × 0
1 0 0 × 1
nn
QQ
01
??
?
?
?
??
???
?
?
nnnn
nnnn
XQQXQQ
QQQXQ
110
1
1
001
1
0 0
nnn QKQJQ ??? 1
比较, 得驱动方程,



5
??
?
?
?
??
??
XKXQJ
KQXJ
n
n
101
010
1
Y
FF
0
F F
1
1
X
Q
1
Q
1
1 J
C 1
1K
1 J
C 1
1K
&
Q
0
Q
0
CP
&
1
&
检查电路能否自启动 6
00 ← 11 → 01
0 / 0 1 / 1
将无效状态 11代入输出
方程和状态方程计算,
电路能够
自启动。
例 设计一个异步时序电路,要求如
右图所示状态图。
0 0 0 → 001 → 010
↑ ↓
1 0 1 ← 100 ← 011
/ 0 / 0
/ 0 / 0
/ 1 / 0
排列顺序:
/ Y
nnn
QQQ 012
4 选触发器,求时钟、输出、状态、驱动方程
选用 3个 CP上升沿触发的 D触发器,分别用 FF0,FF1,FF2表示。




00 01 11 10
0 0 0 × 0
1 0 0 × 1
nn
QQ
12
n
Q
0
Y 的卡诺图
nn QQY
02?





00 01 11 10
0 0 0 1 0 11 ××× 101
1 010 100 ××× 000
n
Q
0
nn
QQ
12
次态卡诺图
CP
Q 0
Q 1
Q 2
t 1 t 2 t 3 t 4 t 5 t 6
时钟方程,
CPCP ?0
01 QCP ?
02 QCP ?
FF0每输入一个 CP翻转一次,只能选 CP。 选择时钟脉冲的一个基本原则:在满足翻
转要求的条件下,触
发沿越少越好。
FF1在 t2,t4时刻翻转,可选 Q0。
FF2在 t4,t6时刻翻转,可选 Q0。
CP
Q
0
Q
1
Q
2
t
1
t
2
t
3
t
4
t
5
t
6
( a )
1
0
?n
Q 的卡诺图
00 01 11 10
0 1 1 × 1
1 0 0 × 0
nn
QQ
12
n
Q
0
nn QQ 010 ??
nnn QQQ 1211 ??
nn QQ 112 ??
00 01 11 10
× × × ×
1 0 × 0
nn
1
( b )
1
1
?n
Q
的卡诺图( c )
1
2
?n
Q
的卡诺图
00 01 11 10
× × × ×
0 1 × 0
00 01 11 10
0 0 0 1 0 11 ××× 101
1 010 100 ××× 000
n
Q
0
nn
QQ
12
次态卡诺图
?
?
?
?
?
?
?
?
n
nn
n
QD
QQD
QD
12
121
00
Q
2
Q
2
Y
Q
0
Q
0
FF
0
F F
1
F F
2
Q
1
Q
1
1D
C1
&
CP
1D
C1
&1D
C1电


5
检查电路能否自启动 6
将无效状态 110,111
代入输出方程和状态
方程计算,
电路能够
自启动。
特性方程,
110 → 111 → 100
/ 0 / 1
本节小结,
时序电路的特点是:在任何时刻的输出不仅和
输入有关, 而且还决定于电路原来的状态 。 为了记忆
电路的状态, 时序电路必须包含有存储电路 。 存储电
路通常以触发器为基本单元电路构成 。
时序电路可分为同步时序电路和异步时序电路
两类 。 它们的主要区别是, 前者的所有触发器受同一
时钟脉冲控制, 而后者的各触发器则受不同的脉冲源
控制 。
时序电路的逻辑功能可用逻辑图, 状态方程,
状态表, 卡诺图, 状态图和时序图等 6种方法来描述,
它们在本质上是相通的, 可以互相转换 。
时序电路的分析,就是由逻辑图到状态图的转换;
而时序电路的设计,在画出状态图后,其余就是由状
态图到逻辑图的转换。
3.3 计数器
3.3.1 二进制计数器
退出
3.3.2 十进制计数器
3.3.3 N进制计数器
在数字电路中,能够记忆输入脉冲个数的电路称为计数器。



二进制计数器
十进制计数器
N进制计数器
加法计数器
同步计数器
异步计数器
减法计数器
可逆计数器
加法计数器
减法计数器
可逆计数器
二进制计数器
十进制计数器
N进制计数器
·
·
·
·
·
·
3.3.1 二进制计数器
1、二进制同步计数器
3位二进制同步加法计数器
000 → 001 → 010 → 011
/ 1 ↑ ↓ /0
111 ← 110 ← 101 ← 100
/ 0 / 0 / 0
/ 0 / 0 / 0
排列顺序:
/ C
nnn QQQ
012
选用 3个 CP下降沿触发的 JK触发器,
分别用 FF0,FF1,FF2表示。



nnn QQQC 012?
输出方程,
CPCPCPCP ??? 210时钟方程,
CP
Q
0
Q
1
Q
2
C



FF0每输入一个时钟脉
冲翻转一次
FF1在 Q0=1时,在下一个 CP
触发沿到来时翻转。
FF2在 Q0=Q1=1时,在下一个
CP触发沿到来时翻转。
100 ?? KJ
nQKJ 011 ??
nn QQKJ 0122 ??
Q
0
Q
0
C
FF
0
F F
1
F F
2
CP
Q
1
Q
1
Q
2
Q
2
1J
C 1
1K
1 J
C 1
1 K
1J
C 1
1K
&
&
1
&
电路图
由于没有无
效状态,电
路能自启动。
?
?
?
?
?
?
?
?
?
??
??
??
??
????
nnn
n
n
nnn
nn
n
QQQQKJ
QQKJ
QKJ
KJ
013211
0122
011
00
1
?
??推广到
n位二
进制同
步加法
计数器
驱动方程
输出方程 nnn
nnn QQQQC 0121 ????
3位二进制同步减法计数器
选用 3个 CP下降沿触发的 JK触发器,
分别用 FF0,FF1,FF2表示。
状态图
输出方程,
000 ← 001 ← 010 ← 011
/1 ↓ ↑ /0
111 → 110 → 101 → 100
/ 0 / 0 / 0
/ 0 / 0 / 0
排列顺序:
/ B
nnn
QQQ
012
CPCPCPCP ??? 210时钟方程,
nnn QQQB 012?
CP
Q
0
Q
1
Q
2
B



FF0每输入一个时钟脉
冲翻转一次
FF1在 Q0=0时,在下一个 CP
触发沿到来时翻转。
FF2在 Q0=Q1=0时,在下一个
CP触发沿到来时翻转。
100 ?? KJ
nQKJ 011 ??
nn QQKJ 0122 ??
Q
0
Q
0
B
1
FF
0
F F
1
F F
2
CP
Q
1
Q
1
Q
2
Q
2
1J
C1
1K
1J
C1
1K
1J
C1
1K
&
&
&
电路图
由于没有无
效状态,电
路能自启动。
?
?
?
?
?
?
?
?
?
??
??
??
??
????
nnn
n
n
nnn
nn
n
QQQQKJ
QQKJ
QKJ
KJ
013211
0122
011
00
1
?
??推广到
n位二
进制同
步减法
计数器
驱动方程
输出方程 nnn
nnn QQQQB 0121 ????
3位二进制同步可逆计数器
设用 U/D表示加减控制信号,且 U/D= 0时作加计数,U/D = 1
时作减计数,则把二进制同步加法计数器的驱动方程和 U/D相
与,把减法计数器的驱动方程和 U/D相与,再把二者相加,便
可得到二进制同步可逆计数器的驱动方程。
?
?
?
??
?
?
?????
?????
??
nnnn
nn
QQDUQQDUKJ
QDUQDUKJ
KJ
010122
0011
00
//
//
1
输出方程
nnnnnn QQQDUQQQDUBC 210210 /// ????
Q
0
Q
0
C / B
1
FF
0
F F
1
FF
2
CP
Q
1
Q
1
Q
2
Q
2
1J
C 1
1K
1J
C 1
1K
1J
C 1
1K
≥ 1& ≥ 1&≥ 1&
1
U / D
电路图
74 L S 16 1
Q
0
Q
1
Q
2
Q
3
( b) 逻辑功能示意图( a ) 引脚排列图
16 15 1 4 1 3 1 2 11 1 0 9
74 L S 16 1
1 2 3 4 5 6 7 8
V
CC
CO
Q
0
Q
1
Q
2
Q
3
CT
T
LD
C R C P D
0
D
1
D
2
D
3
CT
P
G N D
CR
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
CO
LD
4位集成二进制同步加法计数器 74LS161/163
① CR=0时异步清零。 ② CR=1,LD=0时同步置数。
③ CR=LD=1且 CPT=CPP=1时,按照 4位自然二进制码进行
同步二进制计数。
④ CR=LD=1且 CPT·CPP=0时,计数器状态保持不变。
74LS163的引脚排列和 74LS161相同,不
同之处是 74LS163采用同步清零方式。
C C 45 20
Q
0
Q
1
Q
2
Q
3
(b ) 逻辑功能示意图(a ) 引脚排列图
16 15 1 4 1 3 1 2 11 1 0 9
C C 45 20
1 2 3 4 5 6 7 8
V
DD
2 CR
2 Q
3
2 Q
2
2 Q
1
2 Q
0
2 EN
2 CP
1 CP
1 EN
1 Q
0
1 Q
1
1 Q
2
1 Q
3
1 CR
V
SS
E N C P C R
双 4位集成二进制同步加法计数器 CC4520
① CR=1时,异步清零。
② CR=0,EN=1时,在 CP脉冲上升沿作用下进行加法计数。
③ CR=0,CP=0时,在 EN脉冲下降沿作用下进行加法计数。
④ CR=0,EN=0或 CR=0,CP=1时,计数器状态保持不变。
D
1
Q
1
Q
0
CT
U / D
Q
2
Q
3
G ND
R C
CO / BO
LD
74L S 191
Q
0
Q
1
Q
2
Q
3
(b) 逻辑功能示意图(a ) 引脚排列图
16 15 1 4 1 3 1 2 11 1 0 9
74L S 191
1 2 3 4 5 6 7 8
V
CC
D
0
CP RC CO / BO LD D
2
D
3
D
0
D
1
D
2
D
3
CT
U / D
CP
4位集成二进制同步可逆计数器 74LS191
U/D是加减计数控制端; CT是使能端; LD是异步置数控制端;
D0~ D3是并行数据输入端; Q0~ Q3是计数器状态输出端;
CO/BO是进位借位信号输出端; RC是多个芯片级联时级间串行
计数使能端,CT= 0,CO/BO= 1时,RC= CP,由 RC端产生的
输出进位脉冲的波形与输入计数脉冲的波形相同。
4位集成二进制同步可逆计数器 74LS193
BO
CO
L D
7 4 L S 1 9 3
Q
0
Q
1
Q
2
Q
3
( b ) 逻辑功能示意图( a ) 引脚排列图
16 15 1 4 1 3 1 2 11 1 0 9
7 4 L S 1 9 3
1 2 3 4 5 6 7 8
V
CC
D
0
C R C O B O L D D
2
D
3
D
1
Q
1
Q
0
CP
D
CP
U
Q
2
Q
3
G N D
D
0
D
1
D
2
D
3
CR
CP
U
C P
D
CR是异步清零端,高电平有效; LD是异步置数端,低电平有效;
CPU是加法计数脉冲输入端; CPD是减法计数脉冲输入端; D0~
D3是并行数据输入端; Q0~ Q3是计数器状态输出端; CO是进位
脉冲输出端; BO是借位脉冲输出端;多个 74LS193级联时,只要
把低位的 CO端,BO端分别与高位的 CPU,CPD连接起来,各个芯
片的 CR端连接在一起,LD端连接在一起,就可以了。
2、二进制异步计数器
3位二进制异步加法计数器
000 → 001 → 010 → 011
/ 1 ↑ ↓ /0
111 ← 110 ← 101 ← 100
/ 0 / 0 / 0
/ 0 / 0 / 0
排列顺序:
/ C
nnn
QQQ
012



选用 3个 CP下降沿触发的 JK触发器,
分别用 FF0,FF1,FF2表示。
输出方程,
nnn QQQC
012?
时钟方程,
CP
Q
0
Q
1
Q
2
C



FF0每输入一个时钟脉
冲翻转一次,
FF1在 Q0由 1变 0时翻转,
FF2在 Q1由 1变 0时翻转。
CPCP ?0
01 QCP ?
12 QCP ?
3个 JK触发器都是在需要翻转时就有下降沿,不需要翻转时
没有下降沿,所以 3个触发器都应接成 T'型。
?
?
?
?
?
??
??
??
1
1
1
22
11
00
KJ
KJ
KJ
C
Q
0
Q
1
Q
2
Q
0
Q
1
Q
2
1
FF
0
F F
1
FF
2
CP
1J
C 1
1K
1J
C 1
1K
1J
C 1
1K
&
驱动方程,
电路图
3位二进制异步减法计数器
000 ← 001 ← 010 ← 011
/ 1 ↓ ↑ /0
111 → 110 → 101 → 100
/ 0 / 0 / 0
/ 0 / 0 / 0
排列顺序:
/ B
nnn
QQQ
012



选用 3个 CP下降沿触发的 JK触发器,
分别用 FF0,FF1,FF2表示。
输出方程,
nnn QQQB
012?
CP
Q
0
Q
1
Q
2
时钟方程,



FF0每输入一个时钟脉
冲翻转一次,
FF1在 Q0由 0变 1时翻转,
FF2在 Q1由 0变 1时翻转。
CPCP ?0
01 QCP ?
12 QCP ?
3个 JK触发器都是在需要翻转时就有下降沿,不需要翻转时
没有下降沿,所以 3个触发器都应接成 T'型。
?
?
?
?
?
??
??
??
1
1
1
22
11
00
KJ
KJ
KJ驱动方程,
电路图
CP
Q
0  
Q
1
Q
2
Q
0  
Q
1
Q
2
  B
FF
0
F F
1
FF
2
C 1 C 1 C 1
&
T ' 触发器的触发沿
连 接 规 律
上 升 沿 下 降 沿
加 法 计 数
1?
?
ii
QCP 1?? ii QCP
减 法 计 数 1?
?
ii
QCP
1?
?
ii
QCP
二进制异步计数器
级间连接规律
4位集成二进制异步加法计数器 74LS197 CP 1
CP
0
7 4 L S 1 9 7
Q
0
Q
1
Q
2
Q
3
( b ) 逻辑功能示意图( a ) 引脚排列图
14 13 1 2 1 1 1 0 9 8
7 4 L S 1 9 7
1 2 3 4 5 6 7
V
CC
CR
Q
3
D
3
D
1
Q
1
CP
0
C T / LD
Q
2
D
2
D
0
Q
0
CP
1
G N D
D
0
D
1
D
2
D
3
C T /
LD
C R
① CR=0时异步清零。 ② CR=1,CT/LD=0时异步置数。
③ CR=CT/LD=1时,异步加法计数。若将输入时钟脉冲 CP加在
CP0端、把 Q0与 CP1连接起来,则构成 4位二进制即 16进制异步加
法计数器。若将 CP加在 CP1端,则构成 3位二进制即 8进制计数器,
FF0不工作。如果只将 CP加在 CP0端,CP1接 0或 1,则形成 1位二
进制即二进制计数器。
选用 4个 CP下降沿触发
的 JK触发器,分别用 FF0、
FF1,FF2, FF3表示。
0 0 0 0 → 0001 → 0010 → 0011 → 0100
/ 1 ↑ ↓ /0
1 0 0 1 ← 1000 ← 0111 ← 0110 ← 0101
/ 0 / 0 / 0 / 0
/ 0 / 0 / 0 / 0
排列顺序:
/ C
nnnn
QQQQ 0123
3.3.2 十进制计数器
1、十进制同步计数器



输出方程,
时钟方程,
nn QQC 03?
CPCPCPCPCP ???? 3210
C 的卡诺图
00 01 11 10
00 0 0 × 0
01 0 0 × 1
11 0 0 × ×
10 0 0 × ×
nn
QQ
23
nn
QQ
01
十进制同步
加法计数器
(a )
1
0
?n
Q
的卡诺图
00 01 11 10
00 1 1 × 1
01 0 0 × 0
11 0 0 × ×
10 1 1 × ×
nn
QQ
23
nn
QQ
01
nn
QQ
01
00 01 11 10
00 0 0 01 0 101 ×××× 1001
01 0010 0110 ×××× 0000
11 0100 1000 ×××× ××××
10 00 1 1 0 1 11 ×××× ××××
nn
QQ
23
次态卡诺图
nnnn QQQQ 00010 11 ??????
(b )
1
1
?n
Q
的卡诺图
00 01 11 10
00 0 0 × 0
01 1 1 × 0
11 0 0 × ×
10 1 1 × ×
nn
QQ
23
nn
QQ
01
nnnnnn QQQQQQ 1010311 ?????
00 0 1 × 0
01 0 1 × 0
11 1 0 × ×
10 0 1 × ×
nn
QQ
23
nn
01
(c )
1
2
?n
Q
的卡诺图
nnnnnn
nnnnnnnn
QQQQQQ
QQQQQQQQ
201201
0212012
1
2
????
????
00 01 11 10
00 0 0 × 1
01 0 0 × 0
1 × ×
10 0 0 × ×
nn
23
( d )
3
的卡诺图
nnnnnnn QQQQQQQ 30301213 ?????
状态方程
?
?
?
?
?
?
?
??
??
??
??
nnnn
nn
nnn
QKQQQJ
QQKJ
QKQQJ
KJ
030123
0122
01031
00
,
,
1
C
FF
0
F F
1
F F
2
FF
3
Q
1
Q
1
Q
0
Q
0
1
CP
Q
2
Q
2
1J
C1
1K
1J
C1
1K
1J
C1
1K
&
&
&
Q
3
Q
3
1J
C1
1K
&&
电路图
比较,得驱动方程,
将无效状态 1010~ 1111分别代入状态方程进行计算, 可以验证
在 CP脉冲作用下都能回到有效状态, 电路能够自启动 。
?
?
?
?
?
?
?
????
????
????
????
?
?
?
?
nnnnnnn
nnnnnnn
nnnnnn
nnn
QQQQQQQ
QQQQQQQ
QQQQQQ
QQQ
303012
1
3
201201
1
2
10103
1
1
00
1
0
11
nnn QKQJQ ??? 1
十进制同步减法计数器
选用 4个 CP下降沿触发
的 JK触发器,分别用 FF0、
FF1,FF2, FF3表示。
/ 0 / 0 / 0 / 0
0 0 0 0 ← 0001 ← 0010 ← 0011 ← 0100
/ 1 ↓ ↑ /0
1 0 0 1 → 1000 → 0111 → 0110 → 0101
/ 0 / 0 / 0 / 0
排列顺序:
/ B
nnnn
QQQQ 0123



输出方程,
时钟方程,
nnnn QQQQB 0123?
CPCPCPCPCP ???? 3210
B 的卡诺图
00 01 11 10
00 1 0 × 0
01 0 0 × 0
11 0 0 × ×
10 0 0 × ×
nn
QQ
23
nn
QQ
01
(a )
1
0
?n
Q
的卡诺图
00 01 11 10
00 1 1 × 1
01 0 0 × 0
11 0 0 × ×
10 1 1 × ×
nn
QQ
23
nn
QQ
01
nn
QQ
01
00 01 11 10
00 1 0 01 0 0 1 1 ×××× 0111
01 0000 0100 ×××× 1000
11 0010 0110 ×××× ××××
10 0001 0 1 01 ×××× ××××
nn
QQ
23
nnnn QQQQ 00010 11 ??????
(b )
1
1
?n
Q
的卡诺图
00 01 11 10
00 0 1 × 1
01 0 0 × 0
11 1 1 × ×
10 0 0 × ×
nn
QQ
23
nn
QQ
01
nnnnnn
nnnnnnnnn
QQQQQQ
QQQQQQQQQ
101032
01013012
1
1
????
????
nnnnnn
nnnnnnnn
QQQQQQ
QQQQQQQQ
201203
0212023
1
2
????
????
nnnnnnn QQQQQQQ 30301213 ?????
状态方程
00 01 11 10
00 0 0 × 1
01 0 1 × 0
11 0 1 × ×
10 0 1 × ×
nn
QQ
23
nn
QQ
01
(c )
1
2
?n
Q
的卡诺图
00 01 11 10
1 0 × 0
× 1
0 0 × ×
× ×
nn
23
( d )
1
3
?n
Q
的卡诺图





Q
0
Q
0
FF
0
F F
1
F F
2
FF
3
B
Q
1
Q
1
Q
2
Q
2
1
CP
1 J
C 1
1K
1 J
C 1
1 K
1J
C 1
1K
&
&
&
Q
3
Q
3
1 J
C 1
1K
&&
&
比较,得驱动方程,
将无效状态 1010~ 1111分别代入状态方程进行计算, 可以验证
在 CP脉冲作用下都能回到有效状态, 电路能够自启动 。
?
?
?
?
?
?
?
??
??
??
??
nnnn
nnnn
nnnn
QKQQQJ
QQKQQJ
QKQQQJ
KJ
030123
012032
010231
00
,
,
,
1
电路图
?
?
?
?
?
?
?
????
????
????
????
?
?
?
?
nnnnnnn
nnnnnnn
nnnnnnn
nnn
QQQQQQQ
QQQQQQQ
QQQQQQQ
QQQ
303012
1
3
201203
1
2
101023
1
1
00
1
0
11
nnn QKQJQ ??? 1
十进制同步可逆计数器
集成十进制同步计数器
集成十进制同步加法计数器 74160,74162的引脚排列图, 逻
辑功能示意图与 74161,74163相同, 不同的是, 74160和
74162是十进制同步加法计数器, 而 74161和 74163是 4位二进
制 ( 16进制 ) 同步加法计数器 。 此外, 74160和 74162的区别
是, 74160采用的是异步清零方式, 而 74162采用的是同步清
零方式 。
74190是单时钟集成十进制同步可逆计数器, 其引脚排列图
和逻辑功能示意图与 74191相同 。
74192是双时钟集成十进制同步可逆计数器, 其引脚排列图
和逻辑功能示意图与 74193相同 。
把前面介绍的十进制加法计数器和十进制减法计数器用与或
门组合起来,并用 U/D作为加减控制信号,即可获得十进制
同步可逆计数器。
选用 4个 CP上升沿触发
的 D触发器,分别用 FF0、
FF1,FF2, FF3表示。
0 0 0 0 → 0001 → 0010 → 0011 → 0100
/ 1 ↑ ↓ /0
1 0 0 1 ← 1000 ← 0111 ← 0110 ← 0101
/ 0 / 0 / 0 / 0
/ 0 / 0 / 0 / 0
排列顺序:
/ C
nnnn
QQQQ 0123
2、十进制异步计数器



输出方程,
nn QQC 03?
C 的卡诺图
00 01 11 10
00 0 0 × 0
01 0 0 × 1
11 0 0 × ×
10 0 0 × ×
nn
QQ
23
nn
QQ
01
十进制异步加法计数器
CP
Q
0
Q
1
Q
2
Q
3
t
1
t
2
t
3
t
4
t
5
t
6
t
7
t
8
t
9
t
10







CPCP ?0
01 QCP ?
12 QCP ?
FF0每输入一个 CP翻转一次,只能选 CP。
选择时钟脉冲的一个基本原则:在满足
翻转要求的条件下,触发沿越少越好。
FF1在 t2,t4,t6,t8时刻翻转,可选 Q0。
FF2在 t4,t8时刻翻转,可选 Q1。
FF3在 t8,t10时刻翻转,可选 Q0。
03 QCP ?
(a )
1
0
?n
Q
的卡诺图
00 01 11 10
00 1 1 × 1
01 0 0 × 0
11 0 0 × ×
10 1 1 × ×
nn
QQ
23
nn
QQ
01
CP
Q
0
Q
1
Q
2
Q
3
t
1
t
2
t
3
t
4
t
5
t
6
t
7
t
8
t
9
t
10




nn QQ 010 ??
(b )
1
1
?n
Q
的卡诺图
00 01 11 10
00 × × × ×
01 1 1 × 0
11 0 0 × ×
10 × × × ×
nnn QQQ 1311 ??
00 01 11 10
00 × × × ×
01 × × × ×
11 1 0 × ×
× × × ×
nn
QQ
23
nn
QQ
01
(c )
1
2
?n
Q
的卡诺图
nn QQ 212 ??
× × × ×
×
11 0 1 × ×
10 × × × ×
nn
23
nn
(d )
1
3
?n
Q
的卡诺图
nnn QQQ 1213 ??
?
?
?
?
?
?
?
?
?
?
?
nn
n
nn
n
QQD
QD
QQD
QD
123
22
131
00
?
?
?
?
?
?
?
?
?
?
?
?
?
?
?
nnn
nn
nnn
nn
QQQ
QQ
QQQ
QQ
12
1
3
2
1
2
13
1
1
0
1
0
DQ n ??1
比较,得驱动方程,
Q
0
Q
0
Y
FF
0
F F
1
F F
2
FF
3
Q
2
Q
2
Q
1
Q
1
Q
3
Q
3
1D
C1
1D
C1
&
CP
& 1D
C1
&1D
C1
电路图
将无效状态 1010~ 1111分别代入状态方程进行计算, 可以验证
在 CP脉冲作用下都能回到有效状态, 电路能够自启动 。
十进制异步减法计数器
选用 4个 CP上升沿触发
的 JK触发器,分别用 FF0、
FF1,FF2, FF3表示。
/ 0 / 0 / 0 / 0
0 0 0 0 ← 0001 ← 0010 ← 0011 ← 0100
/ 1 ↓ ↑ /0
1 0 0 1 → 1000 → 0111 → 0110 → 0101
/ 0 / 0 / 0 / 0
排列顺序:
/ B
nnnn
QQQQ 0123



输出方程,
nnnn QQQQB 0123?
B 的卡诺图
00 01 11 10
00 1 0 × 0
01 0 0 × 0
11 0 0 × ×
10 0 0 × ×
nn
QQ
23
nn
QQ
01
CP
Q
0
Q
1
Q
2
Q
3
t
1
t
2
t
3
t
4
t
5
t
6
t
7
t
8
t
9
t
10







CPCP ?0
01 QCP ?
12 QCP ?
FF0每输入一个 CP翻转一次,只能选 CP。
选择时钟脉冲的一个基本原则:在满足
翻转要求的条件下,触发沿越少越好。
FF1在 t2,t4,t6,t8时刻翻转,可选 Q0。
FF2在 t4,t8时刻翻转,可选 Q1。
FF3在 t8,t10时刻翻转,可选 Q0。
03 QCP ?
CP
Q
0
Q
1
Q
2
Q
3
t
1
t
2
t
3
t
4
t
5
t
6
t
7
t
8
t
9
t
10
(a )
1
0
?n
Q
的卡诺图
00 01 11 10
00 1 1 × 1
01 0 0 × 0
11 0 0 × ×
10 1 1 × ×
nn
QQ
23
nn
QQ
01




nn QQ 010 ??
(b )
1
1
?n
Q
的卡诺图
00 01 11 10
00 0 1 × 1
01 × × × ×
11 × × × ×
10 0 0 × ×
nnnnn QQQQQ 121311 ???
00 01 11 10
00 × 0 × 1
01 × × × ×
11 × × × ×
× × × ×
nn
QQ
23
nn
QQ
01
(c )
1
2
?n
Q
的卡诺图
nn QQ 212 ??
0 × 0
× × × ×
11 × × × ×
10 0 0 × ×
nn
23
nn
(d )
1
3
?n
Q
的卡诺图
nnnn QQQQ 12313 ??
Q
0
Q
0 B
FF
0
F F
1
F F
2
FF
3
Q
2
Q
2
Q
1
Q
1
Q
3
Q
3
1J
C1
1K
1J
C1
1K
&
CP
&1J
C1
1K
1J
C1
1K
≥ 1
1
比较,得驱动方程,
电路图
将无效状态 1010~ 1111分别代入状态方程进行计算, 可以验证
在 CP脉冲作用下都能回到有效状态, 电路能够自启动 。
?
?
?
?
?
?
?
??
??
???
??
1
1
1
1
3123
22
1231
00
KQQJ
KJ
KQQJ
KJ
nn
nn


?
?
?
?
?
?
?
????
????
?????
????
?
?
?
?
nnnnn
nnn
nnnnn
nnn
QQQQQ
QQQ
QQQQQ
QQQ
3312
1
3
22
1
2
1123
1
1
00
1
0
1
11
1)(
11
nnn QKQJQ ??? 1
CP
1
R
0A
R
0 B
N C V
C C
S
0 A
S
0B
14 13 12 11 10 9 8
74 L S 90
1 2 3 4 5 6 7
CP
0
N C Q
0
Q
3
G N D Q
1
Q
2
74 L S 90
S
0A
S
0 B
R
0A
R
0B
Q
0
Q
3
Q
1
Q
2
CP
0
CP
1
(a ) 引脚排列图 (b ) 逻辑功能示意图










74
LS
90
输 入 输 出
R
0A
R
0B
S
0A
S
0B
CP
0
CP
1
1
3
1
2
1
1
1
0
???? nnnn
QQQQ
1 1 0 × × ×
1 1 × 0 × ×
× × 1 1 × ×
× 0 × 0 ↓ 0
× 0 0 × 0 ↓
0 × × 0 ↓ Q
0
0 × 0 × Q
1

0 0 0 0 ( 清零 )
0 0 0 0 ( 清零 )
1 0 0 1 ( 置 9)
二进制计数
五进制计数
8421 码十进制计数
5421 码十进制计数
3.3.3 N进制计数器
1、用同步清零端或置数
端归零构成 N进置计数器
2、用异步清零端或置数
端归零构成 N进置计数器
( 1) 写出状态 SN-1的二进
制代码 。
( 2) 求归零逻辑, 即求同
步清零端或置数控制端信
号的逻辑表达式 。
( 3) 画连线图 。
( 1) 写出状态 SN的二进制
代码 。
( 2) 求归零逻辑, 即求异
步清零端或置数控制端信
号的逻辑表达式 。
( 3) 画连线图 。
利用集成计数器的清零端和置数端实现归零,从而构成按自然
态序进行计数的 N进制计数器的方法。
在前面介绍的集成计数器中, 清零, 置数均采用同步方式的有
74LS163;均采用异步方式的有 74LS193,74LS197,74LS192;
清零采用异步方式, 置数采用同步方式的有 74LS161,
74LS160;有的只具有异步清零功能, 如 CC4520,74LS190、
74LS191; 74LS90则具有异步清零和异步置 9功能 。
用 74LS163来构成一个十二进制计数器 。
( 1) 写出状态 SN-1的二进制代码 。
( 3) 画连线图 。
CO
LD
C R
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
&
1
1
( a ) 用同步清零端 CR 归零
7 4 L S 1 6 3
nnnNN QQQPPPPLDCR 013111111,????? ??
SN-1= S12-1= S11= 1011
( 2)求归零逻辑。

D0~ D3可随意处理 D0~ D3必须都接 0
CO
LD
C R
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
&
1
1
( b ) 用同步置数端 LD 归零
7 4 L S 1 6 3
用 74LS197来构成一个十二进制计数器 。
( 1) 写出状态 SN的二进制代码 。
( 3) 画连线图 。
nnNN QQPPPPLDCTCR 23112,/ ?????
SN= S12= 1100
( 2)求归零逻辑。

D0~ D3可随意处理 D0~ D3必须都接 0
C T / L D
C R
CP
1
CP
0
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
&
1
( a ) 用异步清零端 CR 归零
CP
74 L S 19 7
CP
CP
1
CP
0
C T / L D
C R
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
&
1
( b) 用异步置数端 C T / L D 归零
74 L S 19 7
用 74LS161来构成一个十二进制计数器 。
nn QQCR 23?
SN= S12= 1100

D0~ D3可随意处理 D0~ D3必须都接 0
CO
LD
C R
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
&
1
1
( a ) 用异步清零端 CR 归零
7 4 L S 1 6 1
用异步清零端 CR 归零用同步置数端 LD 归零
SN-1= S11= 1011
nnn QQQLD 013?
CO
LD
C R
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
&
1
1
(b ) 用同步置数端 LD 归零
74 L S 16 1
3、提高归零可靠性的方法
CO
LD
C R
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
&
1
1
& &
QQ
74 L S 16 1
利用一个基本 RS 触发器将 CR 或 0?LD 暂存一下,从而
保证归零信号有足够的作用时间,使计数器能够可靠归零。
CT
/ LD
C R
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CP
1
CP
&
1
& &
Q
Q
CP
0
7 4 L S 1 9 7
使用 CP 下降沿触发的集成计数
器时,电路中需增加一个反相器。
4、计数器容量的扩展
异步计数器一般没有专门的进位信号输出端, 通常可以用
本级的高位输出信号驱动下一级计数器计数, 即采用串行
进位方式来扩展容量 。
100进制计数器
CP
1
Q
0
Q
1
Q
2
Q
3
S
9A
S
9 B
R
0A
R
0B
CP
1
CP
CP
0
74L S 90( 个位 )
N
1
= 10
Q
0
Q
1
Q
2
Q
3
S
9A
S
9 B
R
0A
R
0B
CP
0
74L S 90( 十位 )
N
2
= 10
CP
1
Q
0
Q
1
Q
2
Q
3
CP
1
CP
CP
0
74L S 90( 个位 )
Q
0
Q
1
Q
2
Q
3
CP
0
74L S 90( 十位 )
S
9A
S
9 B
R
0A
R
0B
S
9A
S
9 B
R
0A
R
0B
&
CP
1
Q
0
Q
1
Q
2
Q
3
CP
1
CP
CP
0
7 4 L S 9 0 ( 个位 )
N
1
= 1 0
Q
0
Q
1
Q
2
Q
3
CP
0
7 4 L S 9 0 ( 十位 )
N
2
=6
S
9 A
S
9 B
R
0 A
R
0B
S
9 A
S
9 B
R
0 A
R
0B
60进制计数器
64进制计数器
同步计数器有进位或借位输出端, 可以选择合适的进位或借位
输出信号来驱动下一级计数器计数 。 同步计数器级联的方式有
两种, 一种级间采用串行进位方式, 即异步方式, 这种方式是
将低位计数器的进位输出直接作为高位计数器的时钟脉冲, 异
步方式的速度较慢 。 另一种级间采用并行进位方式, 即同步方
式, 这种方式一般是把各计数器的 CP端连在一起接统一的时钟
脉冲, 而低位计数器的进位输出送高位计数器的计数控制端 。
D
4
D
5
D
6
D
7
CT
T
CT
P
CP
CT
T
CT
P
CP
CO
LD
C R
7 4 L S 1 6 1 ( 0 )
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
1
1
1
CO
LD
C R
Q
4
Q
5
Q
6
Q
7
1
1
CO
LD
C R
Q
8
Q
9
Q
10
Q
11
D
8
D
9
D
1 0
D
1 1
1
1
7 4 L S 1 6 1 ( 1 )
7 4 L S 1 6 1 ( 2 )
12位二进制计数器(慢速计数方式)
D
4
D
5
D
6
D
7
CT
T
CT
P
CP
CT
T
CT
P
CP
CO
LD
C R
7 4 L S 1 6 1 ( 0 )
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
CT
T
CT
P
CP
1
1
1
CO
LD
C R
Q
4
Q
5
Q
6
Q
7
1
1
CO
LD
C R
Q
8
Q
9
Q
10
Q
11
D
8
D
9
D
1 0
D
11
1
1
7 4 L S 1 6 1 ( 1 )
7 4 L S 1 6 1 (2
1
12位二进制计数器(快速计数方式)
在此种接线方式中,只要片 1的各位输出都为 1,一旦片 0的各
位输出都为 1,片 2立即可以接收进位信号进行计数,不会像
基本接法中那样,需要经历片 1的传输延迟,所以工作速度较
高。这种接线方式的工作速度与计数器的位数无关。
本节小结,
计数器是一种应用十分广泛的时序电路,除
用于计数、分频外,还广泛用于数字测量、运算
和控制,从小型数字仪表,到大型数字电子计算
机,几乎无所不在,是任何现代数字系统中不可
缺少的组成部分。
计数器 可利用触发器和门电路构成。但在实
际工作中,主要是利用集成计数器来构成。在用
集成计数器构成 N进制计数器时,需要利用清零
端或置数控制端,让电路跳过某些状态来获得 N
进制计数器。
3.4 寄存器
3.4.1 基本寄存器
退出
3.4.2 移位寄存器
3.4.3 寄存器的应用
在数字电路中,用来存放二进制数据或代码的电路称
为寄存器。
寄存器是由具有存储功能的触发器组合起来构成的 。
一个触发器可以存储 1位二进制代码, 存放 n位二进制
代码的寄存器, 需用 n个触发器来构成 。
按照功能的不同, 可将寄存器分为基本寄存器和移位
寄存器两大类 。 基本寄存器只能并行送入数据, 需要
时也只能并行输出 。 移位寄存器中的数据可以在移位
脉冲作用下依次逐位右移或左移, 数据既可以并行输
入, 并行输出, 也可以串行输入, 串行输出, 还可以
并行输入, 串行输出, 串行输入, 并行输出, 十分灵
活, 用途也很广 。
3.4,1 基本寄存器
1、单拍工作方式基本寄存器
D
1
1D C1
Q
0
Q
0
D
0
FF
0
1D C1
Q
1
Q
1
FF
1
1D C1
Q
2
Q
2
D
2
FF
2
1D C1
Q
3
Q
3
D
3
FF
3
CP
无论寄存器中原来的内容是什么,只要送数控制时钟脉冲 CP
上升沿到来,加在并行数据输入端的数据 D0~ D3,就立即被
送入进寄存器中,即有,
012310111213 DDDDQQQQ nnnn ?????
2、双拍工作方式基本寄存器
CP
D
1
1D C1
Q
0
Q
0
D
0
FF
0
1D C1
Q
1
Q
1
FF
1
1D C1
Q
2
Q
2
D
2
FF
2
1D C1
Q
3
Q
3
D
3
FF
3
CR
R
D
R
D R D
R
D
0 0 0 00123 ?nnnn QQQQ
( 1) 清零 。 CR=0,异步清零 。 即有,
012310111213 DDDDQQQQ nnnn ?????
( 2) 送数 。 CR=1时, CP上升沿送数 。 即有,
( 3) 保持 。 在 CR=1,CP上升沿以外时间, 寄存器内容将
保持不变 。
3.4.2 移位寄存器
1、单向移位寄存器
Q
0
Q
1
Q
2
Q
3
D
i
D
0
D
1
D
2
D
3
1D
C 1
1D
C 1
1D
C 1
1D
C 1
Q
0
Q
1
Q
2
Q
3
FF
0
FF
1
FF
2
FF
3
CP
移位时钟脉冲
右移
输出
右移
输入
Q
0
Q
1
Q
2
Q
3
并行输出
4位右移
移位寄存器
CPCPCPCPCP ???? 3210
nnni QDQDQDDD 2312010 ????,、、
nnnnnnin QQQQQQDQ 21311201110 ???? ????,、、
时钟方程,
驱动方程,
状态方程,
Q
0
Q
1
Q
2
Q
3
D
i
D
0
D
1
D
2
D
3
1D
C 1
1D
C 1
1D
C 1
1D
C 1
Q
0
Q
1
Q
2
Q
3
FF
0
FF
1
FF
2
FF
3
CP
移位时钟脉冲
右移
输出
右移
输入
Q
0
Q
1
Q
2
Q
3
输入 现态 次态
D
i
CP
nnnn
QQQQ
3210
1
3
1
2
1
1
1
0
???? nnnn
QQQQ
说明
1 ↑
1 ↑
1 ↑
1 ↑
0 0 0 0
1 0 0 0
1 1 0 0
1 1 1 0
1 0 0 0
1 1 0 0
1 1 1 0
1 1 1 1
连续输入
4 个 1
Q
0
Q
1
Q
2
Q
3
FF
0
FF
1
FF
2
FF
3
D
0
D
1
D
2
D
3
1D
C1
1D
C1
1D
C1
1D
C1
Q
0
Q
1
Q
2
Q
3
CP
移位时钟脉冲
左移输出
左移输入
D
i
Q
0
Q
1
Q
2
Q
3
并行输出 4位左移 移位寄存器
CPCPCPCPCP ???? 3210
innn DDQDQDQD ???? 3322110,、、
innnnnnn DQQQQQQQ ???? ???? 13312211110,、、
时钟方程,
驱动方程,
状态方程,
Q
0
Q
1
Q
2
Q
3
FF
0
FF
1
FF
2
FF
3
D
0
D
1
D
2
D
3
1D
C1
1D
C1
1D
C1
1D
C1
Q
0
Q
1
Q
2
Q
3
CP
移位时钟脉冲
左移输出
左移输入
D
i
Q
0
Q
1
Q
2
Q
3
输入 现态 次态
D
i
CP
nnnn
QQQQ
3210
1
3
1
2
1
1
1
0
???? nnnn
QQQQ
说明
1 ↑
1 ↑
1 ↑
1 ↑
0 0 0 0
1 0 0 0
1 1 0 0
1 1 1 0
0 0 0 1
0 0 1 1
0 1 1 1
1 1 1 1
连续输入
4 个 1
单向移位寄存器具有以下主要特点,
( 1) 单向移位寄存器中的数码, 在 CP脉冲操
作下, 可以依次右移或左移 。
( 2) n位单向移位寄存器可以寄存 n位二进制
代码 。 n个 CP脉冲即可完成串行输入工作, 此
后可从 Q0~ Qn-1端获得并行的 n位二进制数码,
再用 n个 CP脉冲又可实现串行输出操作 。
( 3) 若串行输入端状态为 0,则 n个 CP脉冲后,
寄存器便被清零 。
2、双向移位寄存器
D
0
D
1
D
2
D
3
FF
0
FF
1
FF
2
FF
3
Q
0
Q
1
Q
2
Q
3
1D
C 1
1D
C 1
1D
C 1
1D
C 1
Q
0
Q
1
Q
2
Q
3
CP
D
SL&
≥ 1
&
≥ 1
&
≥ 1
&
≥ 1
1
D
SR
M
Q
0
Q
1
Q
2
Q
3
?
?
?
?
?
?
?
??
??
??
??
?
?
?
?
SL
nn
nnn
nnn
n
SR
n
MDQMQ
MQQMQ
MQQMQ
MQDMQ
2
1
3
31
1
2
20
1
1
1
1
0
?
?
?
?
?
?
?
?
?
?
?
?
?
?
?
nn
nn
nn
SR
n
QQ
QQ
QQ
DQ
2
1
3
1
1
2
0
1
1
1
0
?
?
?
?
?
?
?
?
?
?
?
?
?
?
?
SL
n
nn
nn
nn
DQ
QQ
QQ
QQ
1
3
3
1
2
2
1
1
1
1
0
M=0时右移 M=1时左移
( a ) 引脚排列图
16 15 1 4 1 3 1 2 11 1 0 9
74 L S 19 4
1 2 3 4 5 6 7 8
V
CC
Q
0
Q
1
Q
2
Q
3
C P M
1
M
0
CR D
SR
D
0
D
1
D
2
D
3
D
SL
G N D
M
1
M
0
D
SL
74 L S 19 4
Q
0
Q
1
Q
2
Q
3
( b) 逻辑功能示意图
D
0
D
1
D
2
D
3
CR
CP
D
SR
3、集成
双向移
位寄存

74LS194
CPMMCR
01
工作状态
0 × × ×
1 0 0 ×
1 0 1 ↑
1 1 0 ↑
1 1 1 ×
异步清零
保 持
右 移
左 移
并行输入
3.4.3 寄存器的应用
1、环形计数器
Q
0
Q
1
Q
2
Q
3
FF
0
FF
1
FF
2
FF
3
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
1D
C1
1D
C1
1D
C1
1D
C1
CP Q
0
Q
1
Q
2
Q
3
结构特点 n
nQD 10 ??
即将 FFn-1的输出 Qn-1接到 FF0的输入端 D0。




根据起始状态设置的不同,在输入计数脉冲 CP的作用下,
环形计数器的有效状态可以循环移位一个 1,也可以循环移
位一个 0。即当连续输入 CP脉冲时,环形计数器中各个触发
器的 Q端或端,将轮流地出现矩形脉冲。
FF 0 FF 1 FF 2 FF 3
Q 0 Q 1 Q 2 Q 3 D 0 D 1 D 2 D 3
1D
C 1
1D
C 1
1D
C 1
1D
C 1
CP Q
0 Q 1 Q 2 Q 3
&
111 1 000 0 → 1000 → 0100 ← 1001
↓ ↑ ↓
1110 → 011 1 → 0011 → 0001 ← 0010 ← 0101 ← 101 1

1 100 → 01 10 ← 1101
排列顺序,
nnnn
QQQQ
3210
能自启动的 4位环形计数器



由 74LS194
构成的能自
启动的 4位
环形计数器



启动
信号
CR
D
SR
M
1
M
0
D
SL
74L S 194
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
0 1 1 1
&
&
1
1
CP G
2
G
1
(a ) 逻辑电路图
(b) 时序图
CP
Q
0
Q
1
Q
2
Q
3
2、扭环形计数器 Q
0
Q
1
Q
2
Q
3
FF
0
FF
1
FF
2
FF
3
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
1D
C1
1D
C1
1D
C1
1D
C1
CP Q
0
Q
1
Q
2
Q
3
结构特点 n
nQD 10 ??



即将 FFn-1的输出 Qn-1接到 FF0的输入端 D0。
0100 → 1010 → 1101 → 0110
↑ 无效循环 ↓
1001 ← 0010 ← 0101 ← 1011
0000 → 1000 → 1100 → 1110
↑ 有效循环 ↓
0001 ← 0011 ← 0111 ← 1 1 1 1
排列顺序,
nnnn
QQQQ
3210
能自启动的 4位扭环形计数器
FF
0
FF
1
FF
2
FF
3
Q
0
Q
1
Q
2
Q
3
D
0
D
1
D
2
D
3
1D
C1
1D
C1
1D
C1
1D
C1
CP
Q
0
Q
1
Q
2
Q
3
0000 → 1000 → 1100 → 1110 ← 1101 ← 1010 ← 0100 ← 1001 ← 0010
↑ 有效循环 ↓ ↑
0001 ← 0011 ← 0111 ← 1111 0101 ← 1011 ← 0110
(a ) 逻辑图
(b) 状态图
&
&
排列顺序,
nnnn
QQQQ
3210
本节小结,
寄存器是用来存放二进制数据或代码的电路,
是一种基本时序电路 。 任何现代数字系统都必须把
需要处理的数据和代码先寄存起来, 以便随时取用 。
寄存器分为基本寄存器和移位寄存器两大类 。
基本寄存器的数据只能并行输入, 并行输出 。 移位
寄存器中的数据可以在移位脉冲作用下依次逐位右
移或左移, 数据可以并行输入, 并行输出, 串行输
入, 串行输出, 并行输入, 串行输出, 串行输入,
并行输出 。
寄存器的应用很广, 特别是移位寄存器, 不仅
可将串行数码转换成并行数码, 或将并行数码转换
成串行数码, 还可以很方便地构成移位寄存器型计
数器和顺序脉冲发生器等电路 。
3.5 顺序脉冲发生器
3.5.1 计数型顺序脉冲发生器
退出
3.5.2 移位型顺序脉冲发生器
3.5.1 计数器型顺序脉冲发生器
在数字电路中,能按一定时间、一定顺序轮流输出脉冲
波形的电路称为顺序脉冲发生器。
计数器型顺序脉冲发生器一般用按自然态序计数的二
进制计数器和译码器构成。
顺序脉冲发生器也称脉冲分配器或节拍脉冲发生器, 一
般由计数器 ( 包括移位寄存器型计数器 ) 和译码器组成 。
作为时间基准的计数脉冲由计数器的输入端送入, 译码
器即将计数器状态译成输出端上的顺序脉冲, 使输出端
上的状态按一定时间, 一定顺序轮流为 1,或者轮流为 0。
前面介绍过的环形计数器的输出就是顺序脉冲, 故可不
加译码电路即可直接作为顺序脉冲发生器 。
CP
Q
0
FF
0
Q
0
Q
1
FF
1
Q
1
1J 1K
C1
1J 1K
C1
& & &&
Y
0
Y
1
Y
2
Y
3
1
CP
Q
0
Q
1
Y
0
Y
1
Y
2
Y
3
时序图
译码器
??
?
?
?
??
?
?
?
nnnnn
nn
QQQQQ
QQ
1010
1
1
0
1
0
?
?
?
?
?
?
?
?
?
?
?
nn
nn
nn
nn
QQY
QQY
QQY
QQY
013
012
011
010



计数器
D
0
D
1
D
2
D
3
ST
A
Y
0
ST
B
Y
1
ST
C
Y
2
Y
3
Y
4
Y
5
Y
6
Y
7
LD
CR
CT
T
CT
P
Q
0
Q
1
Q
2
Q
3
CO
74L S 163
74L S 138
计数器 译码器
1
CP
Y
0
Y
1
Y
2
Y
3
Y
4
Y
5
Y
6
Y
7
用集成计数器 74LS163和集成 3线 -8线译码
器 74LS138构成的 8输出顺序脉冲发生器。
3.5.2 移位型顺序脉冲发生器
移位型顺序脉冲发生器由移位寄存器型计数器加译码电路
构成。其中环形计数器的输出就是顺序脉冲,故可不加译
码电路就可直接作为顺序脉冲发生器。 Q 0 FF 0 Q 0 Q 1 FF 1 Q 1 Q 2 FF 2 Q 2 Q 3 FF 3 Q 3
CP
1 D
C1
1 D
C1
1 D
C1
1 D
C1
&
&
Y
0
Y
1
Y
2
Y
3
Y
4
Y
5
Y
6
Y
7
& & && & & &&
CP
Q
0
Q
1
Q
2
Q
3
Y
0
Y
1
Y
2
Y
3
Y
4
Y
5
Y
6
Y
7



在数控装置和数字计算机中, 往往需要机器按照
人们事先规定的顺序进行运算或操作, 这就要求机
器的控制部分不仅能正确地发出各种控制信号, 而
且要求这些控制信号在时间上有一定的先后顺序 。
通常采取的方法是, 用一个顺序脉冲发生器来产生
时间上有先后顺序的脉冲, 以控制系统各部分协调
地工作 。
顺序脉冲发生器分计数型和移位型两类 。 计数型
顺序脉冲发生器状态利用率高, 但由于每次 CP信号
到来时, 可能有两个或两个以上的触发器翻转, 因
此会产生竞争冒险, 需要采取措施消除 。 移位型顺
序脉冲发生器没有竞争冒险问题, 但状态利用率低 。
本节小结,
3.6 随机存取存
储器( RAM)
3.6.1 RAM的结构
退出
3.6.2 RAM容量的扩张
RAM是由许许多多的基本寄存器组合起来构成的大
规模集成电路 。 RAM中的每个寄存器称为一个字,
寄存器中的每一位称为一个存储单元 。 寄存器的个数
( 字数 ) 与寄存器中存储单元个数 ( 位数 ) 的乘积,
叫做 RAM的容量 。 按照 RAM中寄存器位数的不同,
RAM有多字 1位和多字多位两种结构形式 。 在多字 1
位结构中, 每个寄存器都只有 1位, 例如一个容量为
1024× 1位的 RAM,就是一个有 1024个 1位寄存器的
RAM。 多字多位结构中, 每个寄存器都有多位, 例
如一个容量为 256× 4位的 RAM,就是一个有 256个 4
位寄存器的 RAM。
3.6.1 RAM的结构
存储矩阵





读 / 写控制电路









片选
读 / 写控制
输入 / 输出
由大量寄存器
构成的矩阵
用以决定访问
哪个字单元
用以决定芯
片是否工作
用以决定对
被选中的单元
是读还是写
读出及写入
数据的通道
X
0
X
1
X
2
X
31
8 根列选择线
Y
0
Y
1
?
Y
7
32




线

容量为 256× 4 RAM的存储矩阵
存储单元
1024个存储单元排成
32行 × 32列的矩阵
每根行选择线选择一行
每根列选择线选择一个字列
Y1= 1,X2= 1,位于 X2和 Y1交叉处
的字单元可以进行读出或写入操作,
而其余任何字单元都不会被选中。
地址的选择通过地址译码器来实现 。 地址译码器由行译码器
和列译码器组成 。 行, 列译码器的输出即为行, 列选择线,
由它们共同确定欲选择的地址单元 。
A
0
A
1
A
2
A
3
A
4
X
0
X
1
X
2
X
31

A
5
A
6
A
7
Y
0
Y
1 ?
Y
7




列 译 码 器
256× 4 RAM存储矩阵中,256个字需要 8位地址码 A7~ A0。其中
高 3位 A7~ A5用于列译码输入,低 5位 A4~ A0用于行译码输入。
A7~ A0=00100010时,Y1=1,X2=1,选中 X2和 Y1交叉的字单元。
0
0
0
1
0
0 0 1
24 23 22 21 20 19 18 17 16 15 14 13
6116
1 2 3 4 5 6 7 8 9 10 11 12
A
7
A
6
A
5
A
4
A
3
A
2
A
1
A
0
D
0
D
1
D
2
G ND
V
D D
A
8
A
9
WE
OE
A
1 0
CS
D
7
D
6
D
5
D
4
D
3集成 2kB× 8位 RAM6116
写入控制端 片选端 输出使能端
A 0 ~ A 10,地址码输入端,D 0 ~ D 7,数码输出端。
3.6.2 RAM容量的扩展
I / O
1024 × 1R A M ( 0)
A
0
A
1
? A
9
R / W C S
I / O
0
I / O
1
I / O
1024 × 1R A M ( 7)
A
0
A
1
? A
9
R / W C S
I / O
7?
?

A
0
A
1
A
9
R / W
CS
I / O
1024 × 1R A M ( 1)
A
0
A
1
? A
9
R / W C S位


将地址线、读/写线和
片选线对应地并联在一起
输入/输出( I/O)分开
使用作为字的各个位线
A
0
A
1
A
9
R / W
A
10
A
11
A
12
I/ O
0
I/ O
1
I/ O
3
?
?

?
I/ O
2
I/ O
0
I/ O
1
I/ O
2
I/ O
3
1k × 4R A M (7 )
A
0
A
1
? A
9
R / W C S
I/ O
0
I/ O
1
I/ O
2
I/ O
3
1k × 4R A M (1 )
A
0
A
1
? A
9
R / W C S
I/ O
0
I/ O
1
I/ O
2
I/ O
3
1k × 4R A M (0 )
A
0
A
1
? A
9
R / W C S
Y
0
Y
1
Y
2
Y
3
Y
4
Y
5
Y
6
Y
7
3 线 -8 线译码器
A
0
A
1
A
2



输入/输出( I/O)线并联
要增加的地址线 A10~ A12
与译码器的输入相连,
译码器的输出分别接至
8片 RAM的片选控制端
本节小结,
随机存取存储器 ( RAM) 可以在任意时刻, 对
任意选中的存储单元进行信息的存入 ( 写入 ) 或取出
( 读出 ) 操作 。 与只读存储器 ROM相比, RAM最大
的优点是存取方便, 使用灵活, 既能不破坏地读出所
存信息, 又能随时写入新的内容 。 其缺点是一旦停电,
所存内容便全部丢失 。
RAM由存储矩阵, 地址译码器, 读/写控制电
路, 输入/输出电路和片选控制电路等组成 。 实际上
RAM是由许许多多的基本寄存器组合起来构成的大规
模集成电路 。
当单片 RAM不能满足存储容量的要求时, 可以
把若干片 RAM联在一起, 以扩展存储容量, 扩展的
方法有位扩展和字扩展两种, 在实际应用中, 常将两
种方法相互结合来达到预期要求 。