电子设计自动化 电子设计自动化 授课教师:何 授课教师:何 旭 旭 第二章 第二章 VHDL语言入门 语言入门 第一节VHDL概念 第二节VHDL语言优缺点 第三节VHDL建模方法 第一节 第一节 VHDL概念 概念 VHSIC---Very High Speed Integrated Circuit VHDL----VHSIC Hardware Description Language 传统设计方法: 传统设计方法: 原理图、真值表、 原理图、真值表、 卡诺图、状态方程 卡诺图、状态方程 缺点:(1)费时费力,易于出错。 (2)自己设计控制逻辑。 (3)难于理解和维护。 (4)需建立相应文档以说明功能。 (5)原理图输入工具专用,难于移植。 (6)不适合于系统仿真。 在实行VHSIC计划(美国国防部于70年代末至80年代 初实行的研制高速、大规模集成电路的开发计划)中, 发现传统的设计方法无法满足开发这类非常复杂集成 电路的要求。 81年提出标准 87年成为IEEE1076标准 93年更新为IEEE1164标准 96年IEEE1076.3成为综合标准 VHDL是用于描述硬件系统、电路板和元件 是用于描述硬件系统、电路板和元件 结构与功能的设计与建模语言。 结构与功能的设计与建模语言。 第二节 第二节 VHDL语言优缺点 语言优缺点 一、优点: 1. 快速 2. 强大而灵活 3. 工艺独立 4. 可移植性 5. 测试能力 6. 工艺转换 第二节 第二节 VHDL语言优缺点 语言优缺点 一、优点: 1. 快速 2. 强大而灵活 3. 工艺独立 4. 可移植性 5. 测试能力 6. 工艺转换 第二节 第二节 VHDL语言优缺点 语言优缺点 一、优点: 1. 快速 2. 强大而灵活 3. 工艺独立 4. 可移植性 5. 测试能力 6. 工艺转换 强大而灵活 强大而灵活 z语言结构丰富 z多层次描述 z支持库和设计复用 z支持模块化设计 z既可设计也可仿真 第二节 第二节 VHDL语言优缺点 语言优缺点 一、优点: 1. 快速 2. 强大而灵活 3. 工艺独立 4. 可移植性 5. 测试能力 6. 工艺转换 工艺独立 工艺独立 z VHDL描述与器件和工艺无关 z支持多种描述风格 支持多种描述风格 支持多种描述风格 网表:U1: xor2 port map {a(0), b(0), x(0)}; U2: xor2 port map {a(1), b(1), x(1)}; U3: nor2 port map {x(0), x(1), aeqb}; 布尔方程:aeqb <=(a(0) XOR b(0)) NOR (a(1) XOR b(1)); 并行描述:aeqb <= ‘1’ When a=b else ‘0’ ; 串行描述:IF a=b THEN aeqb <= ‘1’ ELSE aeqb <= ‘0’ END IF; 第二节 第二节 VHDL语言优缺点 语言优缺点 一、优点: 1. 快速 2. 强大而灵活 3. 工艺独立 4. 可移植性 5. 测试能力 6. 工艺转换 第二节 第二节 VHDL语言优缺点 语言优缺点 一、优点: 1. 快速 2. 强大而灵活 3. 工艺独立 4. 可移植性 5. 测试能力 6. 工艺转换 第二节 第二节 VHDL语言优缺点 语言优缺点 一、优点: 1. 快速 2. 强大而灵活 3. 工艺独立 4. 可移植性 5. 测试能力 6. 工艺转换 二、缺点: (1)放弃对电路级实现的控制, 代之抽象、高层描述。 (2)综合器综合出的电路效率不高。 (3)综合的效果随工具的不同而不同。 第三节VHDL建模方法 易于理解 VHDL应具备 易于修改 VHDL构造复杂设计的方法 构造复杂设计的方法 ( ( 1) ) 自顶向下设计 自顶向下设计 ( ( 2) ) 模块化 模块化 ( ( 3) ) 抽象 抽象 ( ( 4) ) 信息隐藏 信息隐藏 ( ( 5) ) 一致性 一致性