《EDA技术实用教程》目录 作者:潘松,黄继业 科学出版社 出版日期:2002-11 编号7-03-010853-1/TP.1844 本书介绍了EDA技术,PLD/FPGA设计以及VHDL语法,其中VHDL语法部分继 承了《VHDL实用教程》中的大部分内容,全书内容非常实用,概念清楚,有很多实例,强 力推荐! 内容简介 本书根据课堂教学和实验的要求,以提高实际工程设计能力为目的,深入浅出 地对EDA技术及相关知识做了系统和完整的介绍。   全书内容分12章,详细介绍了EDA的基本知识、常用的EDA工具的使用方法和目标 器件的结构原理、设计输入方法、VHDL的设计优化、基于EDA技术较典型的设计项目等 内容。各章都安排了相应的习题和实验。   本书可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器 仪表、数字信号或图像处理等学科的本科生或研究生的电子设计或EDA课程的教材及实验 指导书,也可作为相关专业技术人员的参考书。    本书目录 第1章 概述 1.1 EDA技术及其发展 1.2 EDA技术实现目标 1.3 硬件描述语言VHDL 1.4 VHDL综合 1.5 基于VHDL的自顶向下设计方法 1.6 EDA与传统电子设计方法的比较 1.7 EDA的发展趋势 习题 第2章 EDA设计流程及其工程 2.1 FPGA/CPLD设计流程 2.2 ASIC及其设计流程 2.3 常用EDA工具 2.4 MAX+plusII概述 2.5 IP核 习题 第3章 FPGA/CPLD结构与应用 3.1 概述 3.2 简单PLD原理 3.3 CPLD结构与工作原理 3.4 FPGA结构与工作原理 3.5 FPGA/CPLD测试技术 3.6 FPGA/CPLD产品概述 3.7 CPLD和FPGA的编程与配置 习题 实验 第4章 原理图输入设计方法 4.1 1位全加器设计向导 4.2 2位十进制数字频率计设计 4.3 参数可设置LPM兆功能块 4.4 波形输入设计方法 习题 实验 第5章 VHDL设计初步 5.1 多路选择器VHDL描述 5.2 寄存器描述及其VHDL语言现象 5.3 1位二进制全加器的VHDL设计 5.4 VHDL文本输入设计方法初步 习题 实验 第6章 VHDL设计进阶 6.1 4位加法计数器的VHDL描述 6.2 不同工作方式的时序电路设计 6.3 数据对象DATA OBJECTS 6.4 双向电路和三态控制电路设计 6.5 进程语句结构 6.6 仿真延时 习题 实验 第7章 有限状态机设计 7.1 一般有限状态机的设计 7.2 Moore型有限状态机的设计 7.3 Mealy型有限状态机的设计 7.4 状态编码 7.5 状态机剩余状态处理 习题 实验 第8章 VHDL结构与要素 8.1 实体8.2 结构体 8.3 子程序(SUBPROGRAM) 8.4 VHDL库 8.5 VHDL程序包 8.6 配置 8.7 VHDL文字规则 8.8 数据类型 8.9 VHDL操作符 8.10 LPM的VHDL文本方式调用 习题 实验 第9章 VHDL基本语句 9.1 顺序语句 9.2 VHDL并行语句 9.3 属性描述与定义语句 习题 实验 第10章 设计优化和设计方法 10.1 面积优化 10.2 速度优化 10.3 使用MAX+plusII优化设计 10.4 其他设置 习题 实验 第11章 EDA工具软件接口 11.1 EDA软件接口流程 11.2 Synplify与MAX+plusII的接口 11.3 Synplify与ispEXPERT Compiler的接口 11.4 ModelSim与MAX+plusII的接口 11.5 从MAX+plusII向QuartusII转换 习题 实验 第12章 电子系统设计实践 12.1 等精度频率计设计 12.2 高速A/D采样控制设计 12.3 VGA图像显示控制器设计 12.4 直接数字合成器(DDS)设计 12.5 使用IP Core设计FIR滤波器 12.6 通过异步收发器(UART)设计 习题 实验 附录 EDA实验开发系统使用介绍 参考文献 1 《EDA技术实用教程》目录