第五章 时序逻辑电路
§ 5-1 概述
§ 5-2 时序逻辑电路的分析方法
§ 5-3 若干常用的时序逻辑电路
§ 5-4 时序逻辑电路的设计方法
§ 5-1 概述反馈电路将存储电路的输出状态反馈到组合逻辑电路的输入端,与输入信号一起共同决定电路的输出。
时序逻辑电路的特点
1、功能特点而且取决于上一个时刻的 输出状态 。
包含组合逻辑电路和存储电路;包含反馈电路。
任一时刻的输出信号不仅取决于此时刻的 输入信号,
2、电路特点
§ 5-2 时序逻辑电路的分析方法
重点讲 同步 时序逻辑电路的分析方法。
同步 时序电路:构成电路的每块触发器的时钟脉冲来自同一个脉冲源,同时作用在每块触发器上 。
异步 时序电路:构成电路的每块触发器的时钟脉冲来自不同的脉冲源,作用在每块触发器上的时间也不一定相同。
一、同步时序逻辑电路的分析步骤
1、写输出方程
2、写驱动方程
3、写状态方程
4、填状态转换表
5、画状态转换图
6、画时序波形图
7、分析其功能
8、检查自启动二、举例 试分析下图时序电路的逻辑功能。
解,1)输出方程 Y = Q3Q2
2)驱动方程
J3 = Q2Q1 ;
J1 = Q3Q2 ;
K2 = Q3 Q1
3) 状态方程
=Q3Q2 Q1
=Q2Q1+Q3Q2Q1
=Q3Q2Q1+Q3Q2
Q1n+1 = J1Q1+K1Q1
Q2n+1 = J2Q2+K2Q2
Q3n+1 = J3Q3+K3Q3
K1 = 1
J2 = Q1 ;
K3 = Q2
=( Q3+Q2 ) Q1
Q3Q2Q1
Y
CP
1J
1K
1J
1K
1J
1K
& 1
& Q3Q2Q1
C1C1C1
4)状态转换表
CP的顺序 Q3 Q2 Q1 Y
设,0 0 0 0
设,0 1 1 1
则,1 0 0 0
Q1n+1
Q2n+1
Q3n+1
=Q2Q1+Q3Q2Q1
=Q3Q2Q1+Q3Q2
=( Q3+Q2 ) Q1
Y = Q3Q2
则,1 0 0 1
2 0 1 0
3 0 1 1
4 1 0 0
5 1 0 1
6 1 1 0
0
0
0
0
0
0
1
7 0 0 0 0
已知:
5)状态转换图 000 001 010 011
100101110111
/0
/1Q3Q2Q1
/Y
/0
/0
/0 /0
/0
/1
6) 时序图
CP
t
Q3
t
Y
t
Q2
t
7、分析电路的功能
8、检查自启动由状态转换表知,
此电路能自启动。
1 2 3 4 5 6 7
Q1
t
随 CP的输入,电路循环输出七个稳定状态,
所以是七进制计数器 。
Y端的输出是此七进制计数器的进位脉冲。1
1
0
0
0
0
§ 5-3 若干常用的时序逻辑电路
5-3-1 寄存器和移位寄存器
5-3-2 计数器
5-3-3 顺序脉冲发生器
5.3.1 寄存器和移位寄存器一、寄存器
(用四块 D触发器构成)
若输入,1 0 0 1
0 0 0 0
1、电路结构存入,1 1
2、工作原理存数指令CP
Q0 Q1 Q2 Q3
D0 D1 D2 D3
1D R 1D R 1D R 1D R R
D
二,移位寄存器
1,左 移位 电路组成
(从 Q0 向 Q3移 )
Q0端是 串行输出端;
DIL是左移数据 输入端;
1D
C1
FFD
Q31D
C1
FFC
Q21D
C1
FFB
Q11D
C1
FFA
Q0
CP
DIL
Q0Q1Q2Q3 端是 并行输出端。
2、工作过程例如:要移入 D0D1D2D3
左移状态表
Q0 Q1 Q2 Q3 DIL CP顺序
X X X D0
X X D0 D1
X D0 D1 D2
D0 D1 D2 D3
4个 CP过后,
D0D1D2D3移入
D0 1
D1 2
D2 3
D3 4
4、集成移位寄存器 74LS194
功能表:
RD S1 S0 工作状态
0 x x 清零
1 0 0 保持
1 0 1 右移 (向 QD移 )
1 1 1 并行输入
1 1 0 左移 (向 QA移 )
1
0
1
1
11 1 1 1 1 1 1
1 2 3 4
问题:
4个 CP后,为什么 向右 移入了 4个 1?
向右移举例:
1
要想只将一个 1右移,
操作过程见上:
0 1 0 1 0 0 1
0
Q0 Q1 Q2 Q3 CP
S1
S0
74LS194
RD
D0 D1 D2 D3
DIR
DIL
5-3-2 计数器计数器同步异步二进制十进制任意进制二进制十进制任意进制加法,减法,可逆加法,减法,可逆加法计数器:随 cp的输入,电路递增计数减法计数器:随 cp的输入,电路递减计数可逆计数器:随 cp的输入,电路可增可减计数一、同步计数器
(一 ) 同步二进制计数器
1、同步二进制加法计数器
CP
T0=1
Q0
T1
Q1
T2
Q2C Q3
T3
&
&
C1 1N C1 1N C1 1NC1 1N
&
T0=1;
T1=Q0;
T2=Q1Q0;
T3=Q2Q1Q0
C=Q3Q2Q1Q0
(2) 驱动方程
(1) 输出方程
(四块 T触发器组成)
已知:
T0=1
T1=Q0
T2=Q1Q0
T3=Q2Q1Q0
C=Q3Q2Q1Q0(3)时序波形图
Q0 t
Q1 t
Q2 t
Q3 t
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16CP
t
C t
(4) 状态转换情况 (在波形图上读)
0
0
0
0
0
0
0
1
0
0
1
0
0
0
1
1
0
1
0
0
1
1
1
0
1
1
1
1
1
0
0
0
0
(5) 分析功能这是十六进制计数器 (也是四位二进制加法计数器 )
计数容量为 24-1=15
2、集成四位二进制加法计数器 74LS161
Q 1,Q 2,Q 3 端分别为四分频、八分频和十六分频端。
Q0端为二分频端 。
则,Q0端输出脉冲的频率为 1/2f若 CP的频率为 f
计数器的另一个作用是分频:
同理:
Q3 Q2 Q1 Q0C CP
EP
ET
74LS161RD
LD D3 D2 D1 D0
逻辑符号 CP:时钟输入端
EP,ET:功能转换端
C:进位输出端
RD:复位端
LD:预置数的控制端
D3D2D1D0:预置数的输入端功能表:
3、同步二进制减法计数器
1
0 0 0 0
RD 端 LD 端功能的区别:
0
工作特点:随 CP的不断输入,
电路递减计数。 (略)
CP RD LD EP ET 工作状态
0X X X X 置零
01 X X 预置数
X 1 1 0 1 保持
X 1 1 X 0 保持(但 C=0)
1 1 1 1 计数
Q3 Q2 Q1 Q0C CP
EP
ET
74LS161RD
LD D3 D2 D1 D0
X X X X
0
1
Q3 Q2 Q1 Q0C CP
EP
ET
74LS161RD
LD D3 D2 D1 D0
X X X X
X X X X
例如:
0 0 1 1
0 0 1 1
4、四位二进制可逆计数器 74LS191
逻辑符号
(二) 同步十进制计数器集成同步十进制加法计数器有 74LS160。 电路框图、功能表和 74LS161相同,但输出只有 0000~1001十个稳定状态。
集成同步十进制可逆计数器有 74LS190。
电路框图、功能表和 74LS191相同。
功能表
1X 1 X 保持
0X X 预置数
0 1 0 加法计数
0 1 1 减法计数
CPI S LD U/D 工作状态
X
Q3 Q2 Q1 Q0C/B CPI
74LS191
LD D3 D2 D1 D0
CPO
U/D
S
S=0,C/B=1时,CPO=CPI
进位输出函数 C=Q3Q0 状态转换图见下页
74LS160的状态转换图 ( Q3Q2Q1Q0 )
0000 0001 0010 0011
0100
0101011001111000
1001
1010 1011
11101111
1100 1101
C=Q3Q0=1
tpd
tpd
二、异步计数器
1、异步二进制计数器
构成 ( 以三位为例 )
时序图
计数状态
( 在时序图上读 )
2、异步十进制计数器(略)
1J
C1
1K
1J
C1
1K
1J
C1
1K
1
FF0 FF1 FF2
CP0 CP1 CP2
Q0 Q1 Q2
0
CP0
t
0
Q0
t
0
Q1
t
0
Q2
t
1 2 3 4 5 6 7 8
(CP1)
(CP2)
tpd
功能说明( 表 1)
3、异步二 ——五 ——十进制计数 74LS290
CP输入端 进制 输出状态 分频端
CP0 Q0 二 0,1 Q0为二分频端
CP1 Q3Q2Q1 五 000~100 Q3为五分频端
CP1 Q3Q2Q1Q0 十 0000~1001 Q3为十分频端且 Q0与 CP1相连输出端
&S91S
92
&R01R
02
CP1
CP0
Q0 Q1 Q2 Q3
S1J
C1
1K
R≥1
1J
C1
1K
R≥1
1J
C11K
R
&
FF0 FF1 FF2 FF3
S
1J
C1
1K
R
功能说明异步置 0端R
O1 RO2
异步置 9端
S91 S92 功能说明
1 1 X 0
1 1 0 1 置 0
0 X 1 1
X 0 1 1 置 9
0 0 0 0 计 数
( 表 2)
逻辑符号
CP0 CP1
Q3Q2Q1Q0
R01R02 S92S91
74LS290
用作十进制时的连线
CP0 CP1
Q3Q2Q1Q0
R01R02 S92S91
74LS290
三、任意进制计数器的构成方法用 N 进制计数器,构成 M 进制计数器
(一) M<N 的情况
1、复位法(即清零法)
利用第 M+1个状态译码,使 RD=0,
电路输出 M个稳定状态,
不等下一个 CP脉冲到来,电路立即回到 0000状态。
第 M+1个状态为暂态,不等稳定,就已消失。
例 1:试用 74LS160构成六进制计数器,用清零法。
状态转换表? 连线图
RD=0
状态转换图 ( Q3Q2Q1Q0 / Y)
进位输出
CP Q3 Q2 Q1 Q0 Y
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 03 0 0 1 1 0
4 0 1 0 0 0
5 0 1 0 1 1
6 0 1 1 0
0 0 0 0
0000 0001 0010
001101000101
0110
0111 1000 1001 /0 /0
/0
/0/0
/1
& Y
1
Q3 Q2 Q1 Q0C CP
EP
ET
74LS160RD
LD D3 D2 D1 D0
1010 1011
1110 1111
1100 1101
Y
0
0
00
1
1
或者
&
用 74LS160构成六进制计数器,置入 0000。
状态转换表
2、置位法,利用第 M个状态译码,使 LD=0,等 下一个 CP
脉冲过后,电路回到第一个循环状态。第 M个状态为稳态。
LD=0
状态转换图 ( Q3Q2Q1Q0 / Y)
例 2:
CP Q3 Q2 Q1 Q0 Y
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 03 0 0 1 1 0
4 0 1 0 0 0
5 0 1 0 1 1
6 0 0 0 0
Q3 Q2 Q1 Q0C CP
EP
ET
74LS160RD
LD D3 D2 D1 D0
& Y
1
1
0000 0001 0010
001101000101
0110
0111 1000 1001 /0 /0
/0/0/0/1
10101011
1110 1111
1100 1101
连线图
Y
0
0
00
1
1
或者 &
例 1的时序图:
0
CP
t
0
Q0
t
0
Q1
t
0
Q2
t
1 2 3 4 5 6
0
Q3
t0
1
0
1
0
1
1
0
0
0
0
0
0
1
0
1
0
0
0
0
例 2的时序图:
0
Y
t
0
Y
t
Y=Q2Q0
Y=Q2或:
0
CP
t
0
Q0
t
0
Q1
t
0
Q2
t
1 2 3 4 5 6
0
Q3
t
进位端的输出波形同左。
或:
连线图? 状态转换表例 3
状态转换图进位输出用 74LS160够成六进制,置入 1001。
Q3 Q2 Q1 Q0
0 0 0 0
0 0 0 10 0 1 0
0 0 1 1
0 1 0 0
0 1 0 1
0 1 1 0
0 1 1 1
1 0 0 0
1 0 0 1
LD=0
置入
&
Y
1
1 0 0 1
Q3 Q2 Q1 Q0C CP
EP
ET
74LS160
RD
LD
D3 D2 D1 D0
0010
001101001001
0000 0001
/0/0
/0 /0
/0Y=C=1
( Q3Q2Q1Q0 / Y)
跳过状态
(检查自启动情况略)
例 1 试用两片 74LS160构成百进制计数器。
2、连接方式与特点
1)同步 CP方式。
2)用低位的进位信号控制高位的 功能转换 端,
高位仅在 EP=ET=C1=1 的时间内计数。
3、进制 M
M = 10× 10 = 100
高位的 C 端是此计数器的进位输出端,进位信号为 Y=1。
高位、低位 各自能 输出 10个稳定状态:
( 二) M >N 的情况 (用多片 N进制计数器组合构成)
1、连接线路
Q3 Q2 Q1 Q0C
CP
EP
ET74LS160
RD
LD
D3 D2 D1 D0
Q3 Q2 Q1 Q0C
CP
EP
ET74LS160
RD
LD
D3 D2 D1 D0
CP
1Y
( 1)( 2)
例 2 试用两片 74LS160构成百进制计数器。
2、连接方式与特点
1)异步 CP方式。低位的进位信号是高位的时钟。
2)两片的 EP,ET恒为 1,都处于计数状态。
3、进制 M
M = 10× 10 = 100
高位的 C 端是此计数器的进位输出端,进位信号为 Y=1。
高位、低位 各自能 输出 10个稳定状态:
1、连接线路 为何用非门?
Q3 Q2 Q1 Q0C CP
EP
ET
74LS160
RD
LD
D3 D2 D1 D0
CP
1
Y
( 1)( 2)
Q3 Q2 Q1 Q0C CP
EP
ET
74LS160
RD
LD
D3 D2 D1 D0
1
例 2 两片之间用非门连接的原理
74LS160是 CP↑ 作用的计数器,若片间连接不用非门,则:
CP …
9 10
Q0
Q1
Q2
Q3
低位
C1
Q0高位 … 1 1
1
0
0
1
0
0
0
0
第 9个 CP过后,电路输出
( 1,1001),出错。
CP …
9 10
Q0
Q1
Q2
Q3
Q0… 1
1
0
0
1
0
0
0
0
C1
低位若用非门连接,则正常输出。
0高位例 3 电路如图,试分析电路为几进制计数器,两片之间是几进制。
解,1、连接方式与特点异步 CP方式。 ( 1) 片 Y’端 的进位信号是 ( 2) 片的时钟。
( 1)片是 10进制,
当两片计数到 0001,0010状态时,电路整体清零。
Y 端是此计数器的进位输出端,进位信号为 Y=0。
CP
1
Y
( 1)( 2)
Q3 Q2 Q1 Q0C CP
EP
ET
74LS161
RD
LD
D3 D2 D1 D0
Q3 Q2 Q1 Q0C CP
EP
ET
74LS161
RD
LD
D3 D2 D1 D0
&&
1
1
Y′
(即:两片之间是 10进制)。
0 0 0 1 0 0 1 00 0 0 0 0 0 0 0
2,计数状态表
( 2)片 ( 1)片
CP顺序 Q3Q2Q1Q0 Q3Q2Q1Q0 状态数
1… …
9
0 0 0 0…
0 0 0 0
10 0 0 0 00 0 0 1
11 0 0 0 10 0 0 1
12 0 0 1 00 0 0 1
1
2…
10
11
12
13
0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0 0
0 0 0 1
1 0 0 1
暂态此例能否用整体置数法?问题:
3、进制 M M = 10 + 2 = 12 。
RD=0
例 4 电路如图,试分析电路为几进制计数器,
两片之间是几进制。
解:
( 1)片的进位信号控制( 2)片的 使能端,
Y 端是此计数器的进位输出端,进位信号为 Y=0。
两片之间是 16进制。
当两片计数到 0100,0010状态时,
Q3 Q2 Q1 Q0C
CP
ET
EP74LS161RD
LD D3 D2 D1 D0
Q3 Q2 Q1 Q0C
CP
ET
EP74LS161RD
LD D3 D2 D1 D0
CP
1
( 1)( 2) 11
Y &
同步 CP方式。
( 2)片仅在 ET=EP=C1=1 的时间内计数。
1、连接方式与特点
0 1 0 0 0 0 1 00 0 0 0 0 0 0
电路总体置入 0。
( 2)片 ( 1)片
CP顺序 Q3Q2Q1Q0 Q3Q2Q1Q0 状态数
1… …
16
0 0 0 0…
0 0 0 1
1
2…
17
0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0 0
0 0 0 1
0 0 0 0
15 0 0 0 0 161 1 1 1
… …… …
31 0 0 0 1 321 1 1 1
32 0 0 1 0 330 0 0 0 … …… …
47 0 0 1 0 481 1 1 1
48 0 0 1 1 490 0 0 0 … …… …
63 0 0 1 1 641 1 1 1
64 0 1 0 0 650 0 0 0
67
65 0 1 0 0 0 0 0 1 66 0 1 0 0 0 0 1 0
3、进制 M,M = 16× 4 + 3 = 67
2、计数状态表
66
67 LD=0
四、移位寄存器型计数器一般结构:
1DC1
FF1
Q1 1DC1
FF2
Q2 1DC1
FF3
Q3 1DC1
FF4
Q4
反 馈 逻 辑 电 路
D1
CP反馈函数:
D1 = F( Q1,Q2,… Qn)
反馈函数不同,电路循环输出的状态也就不同。
(一)环形计数器
1、电路结构
2、反馈函数 D1 = Qn
1DC1
FF1
Q1 1DC1
FF2
Q2 1DC1
FF3
Q3 1DC1
FF4
Q4
D1
CP
3、状态转换图
0000
1111
1010
0101
(a) (b) (c) (d) (e)
(Q1Q2Q3Q4)
若取 (a)为有效循环,则 (b)—— (e)就为无效循环。
(a)的循环长度为 n=4,(n是触发器的位数)
从状态转换图知,此电路不能自启动。
接入适当的反馈逻辑电路,可以将电路修改为能够自启动的电路(从略)。
1000 0100
0001 0010
1100 0110
1001 0011
1110 0111
1101 1011
(一)扭环形计数器
1、电路结构
2、反馈函数
3、状态转换图若取 (a)为有效循环,则 (b)为无效循环。 (a)的循环长度为 2n。
在 (a)循环状态中,由于电路每次状态转换时,只有一位触发器改变状态,因而将电路状态译码时不会产生竞争 — 冒险现象。
此电路不能自启动。接入适当的反馈逻辑电路,可以将电路修改为能够自启动的电路(从略)。
D1 = Qn
( a) (b)
1DC1
FF1
Q1 1DC1
FF2
Q2 1DC1
FF3
Q3 1DC1
FF4
Q4D1
CP
0000 1000
0001 1110
1100
11110011 0111
1010 1101
0100 1011
0110
01011001 0010
(三)最大长度移位寄存器型计数器
1、最大长度循环长度为 2n-1
(除 0以外)
2、一般电路结构
3、举例 (以 n=3 为例)
1)电路结构
1DC1
FF1
Q1 1DC1
FF2
Q2 1DC1
FFn
QnD1
CP …


反馈逻辑
=1
=1
1DC1
FF1
Q1 1DC1
FF2
Q2 1DC1
FF3
Q3D1
CP3)状态转换图
(Q1Q2Q3)001 100 010 101
011 111 110 000
4)此电路不能自启动。
接入适当的反馈逻辑电路,
能够使电路自启动(略)。
D1=Q2⊕ Q32)反馈函数
4、常用 3~12位最大长度移位寄存器式计数器的反馈函数
5、伪随机序列发生器最大长度移位寄存器式计数器又称为 m序列发生器或 伪随机序列发生器,它除了作计数器以外,还可用于产生具有固定循环规律的脉冲序列。
寄存器的位数 反馈函数
D1=Q2⊕ Q33
4
5
6
7
8
9
10
11
12
D1=Q3⊕ Q4
D1=Q3⊕ Q5
D1=Q5⊕ Q6
D1=Q6⊕ Q7
D1=Q2⊕ Q3⊕ Q4⊕ Q8
D1=Q5⊕ Q8
D1=Q7⊕ Q10
D1=Q9⊕ Q11
D1=Q6⊕ Q8⊕ Q11⊕ Q13
在最大长度移位寄存器式计数器的基础上,
经过简单的修改可以得到计数长度小于 2n-1的大部分计数器。
*5-3-3 顺序脉冲发生器 (选修)
顺序脉冲发生器可以产生 顺序脉冲,也称 节拍脉冲。
顺序脉冲发生器的组成:
一、用环形计数器组成当环形计数器工作在每个状态中只有一个 1的循环状态时,
它就是顺序脉冲发生器。
1DC1
FF1
Q1 1DC1
FF2
Q2 1DC1
FF3
Q3 1DC1
FF4
Q4D1
CP
≥ 1
1、组成举例
t
CP
t
Q1
t
Q2
t
Q3
t
Q4
1 2 3 4 5
3、电路特点结构简单,不必附加译码电路。
但使用的触发器数目较多,4个顺序脉冲用了 4个触发器,利用率较低。
2、电压波形 ( 循环 输出 4个顺序脉冲)
二、用计数器和译码器组成
&
&
&
&
Y0
Y1
Y2
Y3
Q0
1D
C1
Q1
1D
C1CP
t
CP
t
Y0
t
Y1
t
Y2
t
Y3
1 2 3 4
4、工作特点由于异步计数器中两个触发器的翻转有先有后,因此当两个触发器同时改变状态 (从 01 → 10) 时,
电路可能产生竞争 — 冒险现象,使顺序脉冲中出现尖峰脉冲。
2、工作一览表 1、组成举例
3、输出波形
Y0 Y3
0 0 0 1 0 0 0
1 0 1 0 1 0 0
2 1 0 0 0 1 0
3 1 1 0 0 0 1
Y1 Y2Q1 Q0CP
Q3 Q2 Q1 Q0CP
ET
EP
C
D3 D2 D1 D0
LD
RD
CP74LS161
1
A2 A1 A0S1S2S3
CP
Y7Y6Y5Y4Y3Y2Y1Y0
74LS138
三、用中规模集成电路组成
1、组成举例
2,波形分析 (波形图略)
为了克服译码器可能存在的竞争 — 冒险现象,将 CP 接到
74LS138的 S1端,作为选通脉冲。
3、电路特点
4、电路改进 (电路图略)
用 4位扭环形计数器,并取其( a)所示的有效循环,代替
74LS161组成上述电路,可以从根本上消除竞争 — 冒险现象。
此电路可输出 8个负向顺序脉冲。
74LS161中的触发器在 CP上升沿翻转,
74LS138在 CP下降沿选通译码,时间正好错开。
§ 5-4 时序逻辑电路的设计方法
5-4-1 同步任意进制计数器的设计要求,1)用小规模集成电路(触发器和门电路)设计。
2)计数器应能自启动
3)电路应力求简单例,设计一个 七进制计数器,要求它的状态转换图如下
001 100 010 101
011 111 110
Q1Q2Q3
/C
/0 /0 /0
/0
/0/0
/1
循环输出 m1,m4,m2,m5,m6,m7,m3,七个状态。
1、填总的次态 /输出 卡洛图 XXX/X 100/0 001/1 101/0
010/0 110/0 011/0 111/02、分解卡洛图
X 1 0 1
0 1 0 1
X 0 0 0
1 1 1 1
X 0 1 1
0 0 1 1
解,循环输出 m1,m4,m2,m5,m6,m7,m3、
0 0 0 1 1 1 1 0Q2Q3Q1
0
1
00 01 11 10Q
1
Q2Q3Q2n+1
0
1
00 01 11 10Q
1
Q2Q3Q1n+1
0
1
00 01 11 10Q
1
Q2Q3Q3n+1
0
1
X 0 1 0
0 0 0 0
00 01 11 10Q
1
Q2Q3C
0
1
Q1Q2Q3/C C=1
如果按常规合并最小项,则:
如果将 XXX定义为有效循环中的任意一个状态,
例如 将 XXX定义为 010,电路 将 能自启动。
3、为了自启动,合理确定无关项的次态因为它表明 000的次态仍为 000。电路将不能自启动。
此时,最小项的合并如图。
X 1 0 1
0 1 0 1
X 0 0 0
1 1 1 1
X 0 1 1
0 0 1 1
00 01 11 10Q
1
Q2Q3Q2n+1
0
1
00 01 11 10Q
1
Q2Q3Q1n+1
0
1
00 01 11 10Q
1
Q2Q3Q3n+1
0
1
X 0 1 0
0 0 0 0
00 01 11 10Q
1
Q2Q3C
0
1
4、写状态方程和输出方程
Q1n+1= Q2 Q3?
Q3n+1= Q2
Q2n+1= Q1+Q2Q3
5、确定触发器的类型,写驱动方程若用 JK触发器组成这个电路,就将状态方程化成 JK触发器特性方程的标准形式:
Q2n+1= Q1(Q2 + Q2) + Q2Q3 = (Q1 + Q3)Q2 + Q1Q2
Q3n+1= Q2(Q3+Q3) = Q2Q3 + Q2Q3
J1 =Q2 Q3; K1 =Q2 Q3
J2 =Q1Q3 ; K2=Q1
J3 = Q2 ; K3 = Q2
Qn+1= J Qn + K Qn
Q1n+1=Q2 Q3(Q1 + Q1) = (Q2 Q3)Q1 + (Q2 Q3)Q1
驱动方程
C = Q1Q2Q3
6、根据驱动方程和输出方程画逻辑图
7、画状态转换图 000
Q1Q2Q3
/C
001 100 010 101
011 111 110
/0 /0 /0
/0
/0/0
/1
&
Q3Q21JC1
1K
1J
C1
1K
1J
C1
1K
&
C
CP
=1
1
Qn+1= D
Q1n+1= Q2 Q3?
Q3n+1= Q2
Q2n+1= Q1+Q2Q3
驱动方程为则,
D1= Q2⊕ Q3
D2= Q1+Q2Q3
D3= Q2
根据驱动方程和输出方程画逻辑图。
已知状态方程若用 D触发器组成这个电路,
将状态方程化成 D触发器特性方程的标准形式:
=1
Q1 Q2 Q3
≥ 1
CP
1DC1 1DC1 1DC1
5-4-2 其它时序逻辑电路的设计设计的一般步骤:
1、逻辑抽象,得出电路的状态转换图 或 状态转换表
2、状态化简
3、状态分配
4、选定触发器的类形求出电路的 状态方程、
驱动方程 和 输出方程。
5、根据驱动方程和输出方程画出逻辑图
6、检查电路能否自启动。
例,设计一个串行数据检测器,对它的要求是,连续输入
3个或 3个以上的 1 时,电路输出 1,其它输入情况下,电路输出 0。
1、进行逻辑抽象令输入变量为 X,输入后的状态为 S:
输入 X 状态 S
没有输入 1 以前输入一个 1
连续输入两个 1 S2
连续输入三个 1 S3
连续三个以上 1 S3
S0
S1
2、列状态转换表
X表示输入变量,Y表示输出变量,Sn表示现态,Sn+1表示次态
S0 S1 S2 S3SnSn+1/yX
3、状态化简比较 S2和 S3发现,它们是 等价 状态,因此,可将上表中的
S3用 S2代替,S
0 S1 S2
SnSn+1/y
X
0 S0/0 S0/0 S0/0
1 S1/0 S2/0 S2/1
S0/0
S1/0
S0/0
S2/0
S0/0
S3/1
S0/0
S3/1
0
1
4、给状态编码
1)确定触发器的位数
2)编码
给状态编码,将逻辑功能问题转化为时序问题
再通过设计时序电路,实现所需逻辑功能可选:
两位触发器的输出 Q1Q0有 00,01,10,11 四种状态,
00 01 10
S0
(编码)
(状态)S1 S2代表:
由于状态数 M=3,而 21<3<22
最大 n=2,所以,用两位触发器。
即将状态 S用编码代替
S0 S1 S2SnSn+1/yX
0 S0/0 S0/0 S0/0
1 S1/0 S2/0 S2/1
6、分解卡洛图,写状态方程
Q1n+1= XQ1+XQ0
5、填 次态 /输出 卡洛图
Q0n+1= XQ1Q0 Y = XQ1
00/0 00/0 XX/X 00/0
01/0 10/0 XX/X 10/1
00 01 11 10XQ1Q0
0
1
0 0 X 0
0 1 X 1
00 01 11 10Q1Q0Q1n+1X
0
1
0 0 X 0
1 0 X 0
00 01 11 10Q1Q0
Q0n+1
X 0
1
0 0 X 0
0 0 X 1
00 01 11 10Q1Q0XY
0
1
Sn+1/y卡诺图
7、确定触发器类型,写驱动方程和输出方程。
用 JK触发器,则状态方程化为,Q1
n+1= (XQ0)Q1+XQ1
驱动方程:
J1 = XQ0,K1 = X
J0 = XQ1,K0 = 1
输出方程,Y = XQ1
8、根据驱动方程和输出方程画逻辑图
Q0n+1= (XQ1)Q0+1Q0
Q1Q0
& Y
CP
1
1J
C1
1K
&1J
C1
1K
&X
00 01
11 10
Q1Q0
X/Y1/0
0/0
1/0
1/1
1/1
0/0 0/0
0/0
状态转换图表明,电路可以自启动。
9、电路的状态转换图