数字逻辑实验二
设计一个 4位 8421码到格雷码 (循环码 )的互相转换电路。当控制端 K=“0”时,电路实现由 8421码转换为格雷码; K=,1”时,
格雷码转换到 8421码。要求电路简单,用最少的器件实现。
实验时间:第八周
(注,下周暂不做密码校验器的实验 )
实验要求
– 设计电路
– 自选器件(查阅 TTL器件手册,或从实验指示书附录中选用)
– 静态验证电路的逻辑功能
– 查器件手册,选择一个产生 8421码的器件:
推荐使用计数器 74LS161
– 将计数器产生的 8421码输入设计好的码制转换器,记录输入输出波形,分析能否正确实现双向码制转换
思考题
– 为什么说 Gray码可靠?