--VHDL code position: xd_p116_ex5_40_shift ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY shift IS PORT ( a, clk : IN STD_LOGIC; b : OUT STD_LOGIC ); END ENTITY shift; ARCHITECTURE bhv OF shift IS COMPONENT dff PORT ( d, clk : IN STD_LOGIC; q : OUT STD_LOGIC }; END COMPONENT dff; SIGNAL z : OUT STD_LOGIC_VECTOR ( 0 TO 4 ); BEGIN z(0) <= a; dff1: dff PORT MAP ( z(0), clk, z( 1 ) ); dff1: dff PORT MAP ( z(1), clk, z( 2 ) ); dff1: dff PORT MAP ( z(2), clk, z( 3 ) ); dff1: dff PORT MAP ( z(3), clk, z( 4 ) ); b <= z(4) ; END ARCHITECTURE bhv;