-- VHDL code position: p240_ex8_18_operator_relational_ops_2 -- Note : This is code for explaining the use of relational operator of VHDL -- Debug : no debug --------------------------------------------------------------------------------- ENTITY relational_ops_2 IS PORT ( a, b : IN INTEGER RANGE 0 TO 3 ; m : OUT BOOLEAN ); END ENTITY relational_ops_2; ARCHITECTURE bhv OF relational_ops_2 IS BEGIN output <= ( a >= b ); END ARCHITECTURE bhv;